core-v-verif icon indicating copy to clipboard operation
core-v-verif copied to clipboard

Functional verification project for the CORE-V family of RISC-V cores.

Results 205 core-v-verif issues
Sort by recently updated
recently updated
newest added

Hi Joe and Maarten, this issue is a test of the following: 1. A check to see if I can assign an issue to you (I can). 2. A check...

question

### Is there an existing core-v-verif task for this? - [X] I have searched the existing task issues ### Task Description Provide a way to have regression status when contributors...

task
cva6

For a few select seeds when running the "corev_rand_interrupt_wfi_mem_stress" test, what seems to be a bug in the reference model can be observed. The scenario is that when a core...

### Task Outcome Two new comparable "sleep" signals are being implemented in RVFI and from the RVVI. These need to be compared in the step-and-compare scoreboard ### Completion Criteria A...

task

### Issue Description HI In latest RISCOF CVA6 riscv-arch-tests tests are failing due to mismatch in between spike and verilator csv. I used [cva6_spike_log_to_trace_csv.py](https://github.com/10x-Engineers/core-v-verif/blob/8fc3143c3c14973e123bdb52a6c92f1ce9b1f115/cva6/sim/cva6_spike_log_to_trace_csv.py) script for spike log to csv...

bug
cva6

### Is there an existing core-v-verif task for this? - [X] I have searched the existing task issues ### Task Description Run randomly generated tests by core-dv (riscv-dv) using custom...

task
cva6
cv-x-if

### Task Outcome The attached 40s vplan for PMP is reviewed, revised, accepted, and pushed to the repo. ### Location Information The spreadsheet is uploaded in this PR: https://github.com/openhwgroup/core-v-verif/pull/1288. ~~The...

### Task Outcome The attached 40s vplan for user-mode is reviewed, revised, accepted, and pushed to the repo. ### Location Information The spreadsheet is uploaded in this PR: https://github.com/openhwgroup/core-v-verif/pull/1287. ~~The...

### Is there an existing core-v-verif task for this? - [X] I have searched the existing task issues ### Task Description UVM agent behaving as a coprocessor with dedicated custom...

task
cva6
cv-x-if

### Is there an existing core-v-verif task for this? - [X] I have searched the existing task issues ### Task Description Adding riscv-arch-test suite for riscv cva6 environment. Steps: 1.Please...

task
cva6