systemverilog topic

List systemverilog repositories

fpga-virtual-console

39
Stars
9
Forks
Watchers

VT220-compatible console on Cyclone IV EP4CE55F23I7

edalize

597
Stars
180
Forks
Watchers

An abstraction library for interfacing EDA tools

SystemVerilogSHA256

72
Stars
25
Forks
Watchers

SHA256 in (System-) Verilog / Open Source FPGA Miner

gateware

45
Stars
0
Forks
Watchers

A collection of little open source FPGA hobby projects

hdlConvertor

269
Stars
61
Forks
Watchers

Fast Verilog/VHDL parser preprocessor and code generator for C++/Python based on ANTLR4

hwt

194
Stars
26
Forks
Watchers

VHDL/Verilog/SystemC code generator, simulator API written in python/c++

verible

1.2k
Stars
191
Forks
Watchers

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server

axi

950
Stars
242
Forks
Watchers

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication