core-v-verif icon indicating copy to clipboard operation
core-v-verif copied to clipboard

Functional verification project for the CORE-V family of RISC-V cores.

Results 205 core-v-verif issues
Sort by recently updated
recently updated
newest added

Hello, @ASintzoff I have been improving code converged for AXI modules . I have executed tests that fail. These tests execute atomic operations. I found that the testbench does not...

cva6

Hello, @ASintzoff @JeanRochCoulon I have been using the RISCV-DV (I use VCS to compile and run the generator), to improve the Code coverage of the CVA6 Core processor, by generating...

bug
cva6

As mentioned in pull-request #2385, `cv32e40p/tb/uvmt/uvmt_cv32e40p_tb_ifs.sv` (on the **cv32e40p/dev** branch) has become very large, containing eight SV-interfaces and several of these interfaces support complex logic. I think it is time...

good first issue
improvement
cv32e40p

The riscof tool requires you to run the riscof tool from a certain path as the config file paths are relative to the location where you execute riscof from. So...

In `lib/uvm_agents/uvma_obi_memory/src` there are two modules that implement a set of assertions for OBI: - [uvma_obi_memory_assert.sv](https://github.com/openhwgroup/core-v-verif/blob/d46633081bfe67daed840d953e0d759241be6ff0/lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_assert.sv#L1) - [uvma_obi_memory_1p2_assert.sv](https://github.com/openhwgroup/core-v-verif/blob/d46633081bfe67daed840d953e0d759241be6ff0/lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_1p2_assert.sv#L1) By default `uvma_obi_memory_assert.sv` supports v1.0 and if the `IS_1P2` parameter is set...

cv32e40x
cv32e40s
cv32e20
Common Infrastructure

In pull-request #2380 there was a [question](https://github.com/openhwgroup/core-v-verif/pull/2380/files/50bd147b911fd59f25478573cc33715f39a88837#r1504662897) regarding some new cross-coverage bins. Although these bins look good, I am worried about two things: 1. They are not part of any...

question
cv32e40p

## Bug Title Cannot compile the CV32E40S model. ### Type Indicate whether the type of problem you found: * Compile error (hopefully nobody has committed anything that doesn't compile!) ###...

tool
cv32e40s

Hello, The signal rd_res_ex_fail is never driven. In the case of exclusive read, the memory response model should respond with either pass or fail. It does correctly in the case...

question
Common Infrastructure

Hello The cv_dv_utils provides a axi2mem uvm object. The aim of this object is to convert axi request to memory response model request and vice verca. An issue has been...

Common Infrastructure
Common Infrastructure: UVM Agent

## VPTOOL does not load features ### Type * Functionally incorrect behavior ### Steps to Reproduce 1. URL to branch that exhibits the issue: **https://github.com/openhwgroup/core-v-verif** 2. Command line: **sh vptool-example/runme.sh**...

Common Infrastructure: VPTOOL