rohd icon indicating copy to clipboard operation
rohd copied to clipboard

The Rapid Open Hardware Development (ROHD) framework is a framework for describing and verifying hardware in the Dart programming language.

Results 128 rohd issues
Sort by recently updated
recently updated
newest added

## Motivation "It's cumbersome and error prone to have to keep resetting flops I'm adding" When creating lots of flops in a `Sequential`, often you want them all reset by...

enhancement

**Is your feature request related to a problem? Please describe.** Similar to the ability to treat structs as signals in SystemVerilog. **Describe the solution you'd like** The `LogicStructure` object would...

enhancement

## Motivation Many of the gates have input port names like `a` and `b` and output ports like `y`. It would be great to name these something better. ## Desired...

enhancement

## Motivation Uniquification in hardware is a common problem, and exporting the `Uniquifier` implementation in ROHD can be helpful to others. `SimCompare` has some useful utilities that might be helpful...

enhancement

## Motivation It's annoying and confusing to need to set the `signalToWidth` map for `SimCompare` after already setting port widths properly. ## Desired solution Remove the `signalToWidthMap` from `SimCompare.iverilogVector`, and...

enhancement

## Motivation Some users of ROHD are interested in adding comments to the generated output SystemVerilog to improve readability, debuggability, and traceability for complex generation flows. While it's possible to...

enhancement

## Motivation Right now most operations in ROHD are unsigned. Less than, greater than, etc. would be a lot more convenient with some built in signed support. ## Desired solution...

enhancement

## Motivation Dealing with null is easier with Dart's null safety than an exception. ## Desired solution Functions that might not have a valid int/BigInt representation due to invalid signal...

enhancement

## Describe the bug Zero width signals get created as 1 bit signals in generated SystemVerilog ## To Reproduce Make a zero-bit port for a module and generate the SystemVerilog...

bug

## Motivation Different stages of a pipeline may have meaningful names associated with them, and logic from within or outside the pipeline may want to access a certain stage's signal...

enhancement