icarus-verilog topic

List icarus-verilog repositories

edalize

597
Stars
180
Forks
Watchers

An abstraction library for interfacing EDA tools

SystemVerilogSHA256

72
Stars
25
Forks
Watchers

SHA256 in (System-) Verilog / Open Source FPGA Miner

async_fifo

213
Stars
68
Forks
Watchers

A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog

xcrypto

87
Stars
10
Forks
Watchers

XCrypto: a cryptographic ISE for RISC-V

svreal

41
Stars
5
Forks
Watchers

Synthesizable real number library in SystemVerilog, supporting both fixed- and floating-point formats

svut

62
Stars
14
Forks
Watchers

SVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!

vdt-plugin

15
Stars
1
Forks
Watchers

mirror of https://git.elphel.com/Elphel/vdt-plugin

iverilog-tutorial

36
Stars
9
Forks
Watchers

Quickstart guide on Icarus Verilog.

neorv32-verilog

42
Stars
9
Forks
Watchers

♻️ Convert the NEORV32 processor into a synthesizable plain-Verilog netlist module using GHDL.