axi topic

List axi repositories

sdspi

149
Stars
25
Forks
Watchers

SD-Card controller, using either SPI, SDIO, or eMMC interfaces

Custom_Part_Data_Files

33
Stars
18
Forks
Watchers

Xilinx PCIe to MIG DDR4 example designs and custom part data files

QuickSPI

18
Stars
5
Forks
Watchers

Two Verilog SPI module implementations (hard and soft) with advanced options and AXI Full Interface

aes_chisel

20
Stars
2
Forks
Watchers

Implementation of the Advanced Encryption Standard in Chisel

axi_vip_demo

28
Stars
6
Forks
Watchers

Xilinx AXI VIP example of use

hdl-registers

22
Stars
2
Forks
Watchers

An open-source HDL register code generator fast enough to run in real time.

hdl-modules

57
Stars
7
Forks
Watchers

A collection of reusable, high-quality, peer-reviewed VHDL building blocks.