xilinx topic

List xilinx repositories

Xilinx-ISE-Makefile

59
Stars
21
Forks
Watchers

An example of how to use the Xilinx ISE toolchain from the command line

edalize

597
Stars
180
Forks
Watchers

An abstraction library for interfacing EDA tools

red-pitaya-notes

324
Stars
199
Forks
Watchers

Notes on the Red Pitaya Open Source Instrument

JSON-for-VHDL

74
Stars
15
Forks
Watchers

A JSON library implemented in VHDL.

awesome-fpga-boards

84
Stars
11
Forks
Watchers

:atm: Second life for FPGA boards which can be repurposed to DYI/Hobby projects ...............................................................................................

basic_verilog

1.5k
Stars
341
Forks
Watchers

Must-have verilog systemverilog modules

hdmi

1.0k
Stars
107
Forks
Watchers

Send video/audio over HDMI on an FPGA

openwifi

3.6k
Stars
618
Forks
Watchers

open-source IEEE 802.11 WiFi baseband FPGA (chip) design: driver, software

FPGA

3.4k
Stars
620
Forks
Watchers

帮助大家进行FPGA的入门,分享FPGA相关的优秀文章,优秀项目

s6_pcie_microblaze

699
Stars
148
Forks
Watchers

PCI Express DIY hacking toolkit for Xilinx SP605. This repository is also home of Hyper-V Backdoor and Boot Backdoor, check readme for links and info