ghdl-yosys-plugin
ghdl-yosys-plugin copied to clipboard
VHDL synthesis (based on ghdl)
This change allows us to run ghdl analysis without having to exit from yosys
Dear, can anyone help me using BRAM 512 word, 16 bit as a ROM (RAM preloaded during FPGA power on) using GHDL VHDL -> Yosys -> Lattice ICE40 FPGA please...
When trying to assign a pin to HiZ I get a hard low drive instead. Reproducer below. I dub this bug "resisting high impedance" :) ent.vhdl: ``` library ieee; use...
I have this **VHDL** design in a file `spi_icebreaker.vhdl`: -- A: library ieee; use ieee.std_logic_1164.all; -- B: entity spi_icebreaker is port( pcb_oscilator: in std_logic; pcb_led: out std_logic; pcb_button: in std_logic...
First I cannot build ghdl locally, so I use the latest release from [fpga-tool-chain](https://github.com/YosysHQ/fpga-toolchain). And I am able to run binary `ghdl`, but when I try to build ghdl plugin...
I'm not sure whether or not this is related to the existing issues which mention this error message, but I have a testcase which I hope will be useful. I'm...
This will be a VHDL backend from #112, and I will be using this PR to track what has been finished and what still needs work. - [ ] Adjust...
Is there a Roadmap for a release of this project ? I'm using it intensively for Verilog conversion in conjunction with verilator to boost simulation performance. I'm also using it...
Hello, both ghdl and yosys are in Debian, but to the best of my understanding this connective plugin is not. Would this be supported from your side? While I could...
Hi, I'm not sure if this is a duplicate of #110, #128 (supposedly fixed?) or #146, but I'm pretty sure this code (which implements a pipelined SIPO) should be perfectly...