yosys topic

List yosys repositories

enigmaFPGA

25
Stars
2
Forks
Watchers

Enigma in FPGA

edalize

597
Stars
180
Forks
Watchers

An abstraction library for interfacing EDA tools

netlistsvg

590
Stars
75
Forks
Watchers

draws an SVG schematic from a JSON netlist

OpenLane

1.2k
Stars
359
Forks
Watchers

OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen and custom methodology scripts for design exploration and optimization.

cariboulite

1.0k
Stars
93
Forks
Watchers

CaribouLite turns any 40-pin Raspberry-Pi into a Tx/Rx 6GHz SDR

amaranth

1.5k
Stars
165
Forks
Watchers

A modern hardware definition language and toolchain based on Python

nmigen

644
Stars
55
Forks
Watchers

A refreshed Python toolbox for building complex digital hardware. See https://gitlab.com/nmigen/nmigen

sv2v

485
Stars
49
Forks
Watchers

SystemVerilog to Verilog conversion

caravel_mpw-one

135
Stars
134
Forks
Watchers

Caravel is a standard SoC hardness with on chip resources to control and read/write operations from a user-dedicated space.

yodl

102
Stars
3
Forks
Watchers

A VHDL frontend for Yosys