oletf

Results 5 issues of oletf

**Describe the bug** Embedded VHDL-LS crash when trying to access anything within *standard.vhd*. **Code** any code with types/subtypes from *standard.vhd*. ```vhdl library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity comp is...

bug
language-server

**Describe the bug** With #326 occurring too much often, I tried to switch to *Vivado (xvhdl)* as linter, selected it in TerosHDL settings, restarted VSCode as suggested, but when starting...

bug
language-server

I'm using a Vivado IP with AXI4Stream interface I have set up like this : ![image](https://github.com/SpinalHDL/SpinalHDL/assets/109208726/ea4c0311-378e-4304-b25f-af52afc472e6) In my case my io is defined as : ```scala val m_axis = master(Axi4Stream(Axi4StreamConfig(dataWidth...

my current fix for #335

**Describe the bug** Problem occurring on call of `Manager::new()` Developing an app on a `12.5.1` macOs where the problem doesn't occur. I've been able to test on a `10.13.6` where...

bug