vscode-terosHDL icon indicating copy to clipboard operation
vscode-terosHDL copied to clipboard

vhdl-ls crashes on standard.vhd

Open oletf opened this issue 2 years ago • 1 comments

Describe the bug Embedded VHDL-LS crash when trying to access anything within standard.vhd.

Code any code with types/subtypes from standard.vhd.

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity comp is
    generic (
        gen1  : positive;
        gen2  : natural
    );
    port (
        clk   : in std_logic;
        data  : in signed(gen1 - 1 downto 0)
    );
end entity comp;

To reproduce Ctrl+hover above positive or natural in the code above to trigger vhdl-ls on standard.vhd.

VHDL LS Output

  • this one is being outputted many times from many different threads and it all gets mixed and interleaved, but it's all the same message :
thread '<unnamed>' panicked at 'internal error: entered unreachable code: Could not find package std.standard', vhdl_lang\src\analysis\analyze.rs:317:13
  • after all those the server crashes
thread '<unnamed>' panicked at 'called `Result::unwrap()` on an `Err` value: "SendError(..)"', C:\Users\runneradmin\.cargo\registry\src\github.com-1ecc6299db9ec823\lsp-server-0.3.4\src\stdio.rs:29:37
[Info  - 10:56:16] Connection to server got closed. Server will restart.
  • finally terosHDL restarts VHDL-LS until a new try to make it read standard.vhd occurs.

The VHDL LS server crashed 5 times in the last 3 minutes. The server will not be restarted.

Versions:

  • OS: Windows10
  • VSCode version: 1.69.1
  • TerosHDL: v2.0.7

oletf avatar Jul 13 '22 09:07 oletf

Thanks for the report. I will fix it in the next months.

qarlosalberto avatar Jul 13 '22 12:07 qarlosalberto

fixed

qarlosalberto avatar Jun 29 '23 18:06 qarlosalberto