Jim Lewis

Results 11 issues of Jim Lewis

**Description** Working on updating MemoryPkg to handle variations in storage patterns. Current code runs in other simulators. It is on the OSVVM Dev branch with the tag: GHDL-Crashes-220805b **How to...

Bug
Question: LRM reading

Question from OSVVM Webinar (thanks Ed). Add overloading to NewID that returns the ID as a signal based out parameter and has wait for 0 ns internally to allow the...

From an external user: I am struggling with configuration procedure SetAlertLogOptions in conjunction with ReportAlerts. I am not sure, if there is a basic misunderstanding or a bug causing this...

AlertLogPkg

https://github.com/VHDL/CoreLib/blob/efe6954672614326fc41b0dbef8cd9395edc8bd9/data_structures/src/Dictionary.vhd#L108 The package is instrumented up to support different sized std_logic_vectors. In Set, when a key is found, it is "assumed" to be the same size as the current value....

question

**Description** In the package, OsvvmScriptSettingsPkg.vhd, I have created a deferred constant. I set the default settings in the package body of this package. I replace those settings with project specific...

ThirdParty: OSVVM

Please update the link to OSVVM to https://github.com/OSVVM/OsvvmLibraries You are currently pointing to just the utility library.

Write Response Valid Time out needs to be updated to start from when a write burst completes. It is currently measured from later of the transaction is dispatched or the...

With AxiStream, the ports TID, TDest, TUser, TData, TStrb, TKeep vary with the implementation. Currently the AxiStream VC define these as unconstrained array ports: ```vhdl port ( . . ....

In your documentation, please be sure to note what is a VHDL-2019 directive vs. what are your extensions. In particular, please be sure to note that \`define and \`include are...