Jim Lewis

Results 95 comments of Jim Lewis

@LarsAsplund First, thanks for working on this. Will handle the ability to redirect outputs to different files? OSVVM does not currently have mocking (for various reasons), but I thought if...

@LarsAsplund Does your proposed sharing include any sharing of counts and such?

@LarsAsplund Currently OSVVM bins do not report their parent in printing - but they do know their parent.

@LarsAsplund What is our goal? Some level of interoperability/exchangeability? I see only two ways: 1) Share a common information model that records and counts FAILURES, ERRORS, WARNINGS, and at least...

WRT using file inputs, ActiveHDL really has a hard time keeping rooted in the simulation start directory and seems to creep into its library directory any time you turn your...

@LarsAsplund For me, all tests in the same test suite (testing similar functionality like a UART core or a particular package) have a unique name and unique files they operate...

@umarcor The issue with ActiveHDL is not being able to run simulations within a given environment. It is to get the VHDL to write files in the expected locations when...

@umarcor WRT environment variables, you have to convince vendors other than one who has proactively implemented VHDL-2019 to implement the 2019 feature that allows environment variables to be read. That...

@umarcor TCL can access environment variables. Is there something I am missing here? Setting them is nothing more than: `set ::env(name-to-set) value-to-set` Does VUnit have a library that gives VHDL...

@umarcor What do you mean by discovering? Is your intent automating the discovery of all tests? That is going to be an interesting challenge. For example, in the OSVVM AXI...