Jim Lewis

Results 102 comments of Jim Lewis

Then this should fix it right? ```vhdl entity repro1 is end; architecture behav of repro1 is function InitMemoryBaseType(Size : integer) return integer_vector is begin return (1 to Size => 0);...

Then this too should work. It analyzes, but it fails during simulate. ``` entity MemPkgReproducer4 is end; architecture behav of MemPkgReproducer4 is function InitMemoryBaseType(Size : integer) return integer_vector is begin...

TbMem_Width39.vhd is: ``` -- -- File Name: TbMem_Width39.vhd -- Design Unit Name: TbMem_Width39 -- Revision: STANDARD VERSION -- -- Copyright (c) 2022 by SynthWorks Design Inc. All rights reserved. --...

In MemoryGenericPkg.MemWrite, I did the following: ``` if (MemStructPtr(ID).MemArrayPtr(BlockAddr) = NULL) then MemStructPtr(ID).MemArrayPtr(BlockAddr) := new MemBlockType'(0 to 2**BlockWidth-1 => (MemoryBaseTypeWidth downto 1 => -1)) ; --No MemStructPtr(ID).MemArrayPtr(BlockAddr) := new MemBlockType'(0...

The reality I was working toward was that MemoryBaseType is a GenericType and hence needed the function InitMemBaseType to return the correct type. Other tools seemed to be able to...

I pushed the updates above to the Debug branch of OsvvmLibraries and debug branch of osvvm It is here: https://github.com/OSVVM/OsvvmLibraries/tree/Debug

@tgingold @umarcor Is the updated version of GHDL available on MSYS2 pacman?

> Here is a way shorter example which causes the same GHDL Bug. > [top.vhd](https://github.com/tgingold/ghdl/files/773239/top.vhd.txt) > > Best, > Herbert @herbertp Your usage there of an external name is incorrect....

@jeras Are you putting the two versions of transaction_pkg.vhd into separate libraries? In VHDL it is illegal to have two primary units that have the same name in the same...

@tgingold How is this feature coming? OSVVM needs external names to protected types. It is how we are currently doing our BurstFifos. I have test cases if you need them.