f4pga-arch-defs icon indicating copy to clipboard operation
f4pga-arch-defs copied to clipboard

FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

Results 159 f4pga-arch-defs issues
Sort by recently updated
recently updated
newest added

https://github.com/SymbiFlow/symbiflow-examples/pull/236 See https://github.com/SymbiFlow/symbiflow-examples/runs/4655539957?check_suite_focus=true ``` 04:21:58 | make: Entering directory '/root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo' 04:21:58 | mkdir -p /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/build/arty_35 04:21:58 | cd /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/build/arty_35 && symbiflow_synth -t top -v /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/baselitex_arty.v /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/../../third_party/vexriscv-verilog/VexRiscv_Linux.v -d artix7 -p xc7a35tcsg324-1...

The script at https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/utils/vpr_fixup_post_synth.py should not exist. Instead either; (a) VPR should be fixed. (b) The simulators should be fixed. We should not be doing work arounds like this when...

When following the steps at https://symbiflow.readthedocs.io/en/latest/symbiflow-arch-defs/docs/source/getting-started.html#prepare-environment, and cloning the repository like: ``` git clone https://github.com/SymbiFlow/symbiflow-arch-defs.git ``` It looks like a submodule is referring to a commit that no longer exists:...

The techmapper for xc7 [https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/techmap/cells_map.v](https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/techmap/cells_map.v) defines a default value for COMPENSATION in the PLL advanced module even though it is never used. Should that be removed? Or, is the techmapper...

The 'make env' command fails if 'patch' is not previously installed

This issue is to keep track of the issue reported here: https://github.com/SymbiFlow/symbiflow-arch-defs/issues/1776. This issue has been split from https://github.com/SymbiFlow/symbiflow-arch-defs/issues/1788, as it tackles a different problem. ## Problem statement The auto-generated...

Each `GTP_COMMON` in the Series7 has two different `IBUFDS_GTE` from which it can get the differential clock signals. The `GTP_COMMON` itself has two `GTREFCLK` inputs, each of them exclusively connected...

There are situations in which some logic driven by clocks from the `IBUFDS_GTE` need to be placed in the same clock region, or otherwise there would be an unroutable situation.

@acomodi @mkurc-ant @nelsobe I have been running several designs in hardware using the new MMCME2_ADV primitives and have noticed that the timing has been off. I ran a few LED...

With https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1729 merged the `MMCME2_ADV` primitive is supported. However the `MMCME2_BASE` which is a subset of it is not supported yet. What needs to be done to add the support...

done