f4pga-arch-defs icon indicating copy to clipboard operation
f4pga-arch-defs copied to clipboard

Add a techmap for MMCME2_BASE

Open mkurc-ant opened this issue 2 years ago • 2 comments

With https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1729 merged the MMCME2_ADV primitive is supported. However the MMCME2_BASE which is a subset of it is not supported yet. What needs to be done to add the support is writing a techmap for Yosys.

mkurc-ant avatar Aug 23 '21 09:08 mkurc-ant

@mkurc-ant @nelsobe I have started building the tech-map for the MMCM_BASE primitive and it seems to be pretty strait forward but I don't know if moving forward is wise until we know the MMCM_ADV works properly at higher frequencies (see issue #2248).

WhiteNinjaZ avatar Aug 27 '21 22:08 WhiteNinjaZ

@mkurc-ant @nelsobe @mithro With the recent revelations concerning the MMCM_ADV issue (see #2248 ) can we safely move forward in creating a techmap for the MMCME2 base? In theory, a techmap for the base should be possible using the advanced and simply passing "INTERNAL" to the .COMPENSATION parameter. My only concern is that this could introduce several bugs to the system. What types of ramifications can we expect from doing this?

WhiteNinjaZ avatar Nov 20 '21 04:11 WhiteNinjaZ