deleteTh

Results 7 comments of deleteTh

[axis_async_fifo.txt](https://github.com/user-attachments/files/15896223/axis_async_fifo.txt) ![捕获](https://github.com/TerosTechnology/vscode-terosHDL/assets/122022235/d795a437-998a-4087-aea2-956e35327be4)

I found that many verilog file cannot be generated.

![1](https://github.com/TerosTechnology/vscode-terosHDL/assets/122022235/31dccf31-147c-409c-aaec-1234693687fd)

![3](https://github.com/TerosTechnology/vscode-terosHDL/assets/122022235/8c17a917-723e-448f-ab2f-5ad699c68383) ![4](https://github.com/TerosTechnology/vscode-terosHDL/assets/122022235/b24cff78-5f8d-44bc-aa0f-79aea10ac81f) [ErrorInfo.txt](https://github.com/user-attachments/files/15909257/ErrorInfo.txt)

When I use this command : yowasp-yosys -p 'read_verilog -sv d:/workSpace/sim/fifo/axis_async_fifo.v; ; hierarchy -top axis_async_fifo; proc; ; write_json C:/Users/LBWB_WT/.teroshdl_0hHab; stat', then CMD window was no error. ![5](https://github.com/TerosTechnology/vscode-terosHDL/assets/122022235/b5af2ae1-9913-49be-9178-c60d144deeee)

always @(posedge clk) begin if (rst) begin last_tlast = 1'b1; end else begin if (s_axis_tvalid && s_axis_tready) last_tlast = s_axis_tlast; end end

When I use TerosHDL:Generate template >> Verilog testbench, then (wire wire) or (reg wire) will appear before some signal names. There will be grammatical errors.