Taichi Ishitani

Results 41 comments of Taichi Ishitani

`tvip_axi_master_item` and `tvip_axi_slave_item` have different random constraints for `tvip_axi_item::data` variable. * https://github.com/taichi-ishitani/tvip-axi/blob/21a3bfc5f4137fa032b655b43f4ea83ae6f3b368/src/tvip_axi_item.svh#L266 * https://github.com/taichi-ishitani/tvip-axi/blob/21a3bfc5f4137fa032b655b43f4ea83ae6f3b368/src/tvip_axi_item.svh#L346 This pattern would cause SEGV error below. ``` ERROR: [XSIM 43-3316] Signal SIGSEGV received. Printing stacktrace......

Refer an array variable, which is defined outside of constraint block, from a `foreach` constraint then xelab enters infinite loop. For example: https://github.com/taichi-ishitani/tvip-axi/blob/21a3bfc5f4137fa032b655b43f4ea83ae6f3b368/src/tvip_axi_slave_default_sequence.svh#L62

Hi @coder-humbitious , Thank you for focusing on my AXI BFM ! Followings are answers for your questions. > Is it likely to work with iVerilog or Verilator or SV-Parser...

Hi @aignacio , Thank you for opening this issue! I've confirmed that tvip-axi can be compiled by Synospsy VCS and Cadence Xcelium simulators. Therefore, I think these error and warning...

@aignacio , I'd like you to report this issue to Xilinx if you can. Can you close this ticket if you have no topic?

Thank you for reporting this issue. > let's wait for their feedback first, before closing it.... Yes, off cause.

@aignacio , I downloaded the zip file which you attached to the forum but I cannot find a makefile for Vivado simulator. https://forums.xilinx.com/xlnx/attachments/xlnx/SIMANDVERIBD/31105/1/tvip-axi.zip Did you attached a wrong zip file?

Hi @aignacio , The latest tvip-axi can be compiled with Vivado simulator. In addition, I've confirmed that the master agent with AXI4-Lite mode works well with this TB. https://github.com/rggen/rggen-sample-testbench But...

#32 is a ticket to recode changelog for Vivado support.

Hi @dev0075 , Thanks again for your taking notice on my works ! > you have a presentation explaining how this works Sorry, I have no documents for this project....