Shou-Li Hsu
Shou-Li Hsu
**Describe the bug** When using standard input instead of a file, the linter should not report `Declared module does not match the first dot-delimited component of file name: "-"`. **Test...
When I wrote assert property like this: ```systemverilog assert property (!(Read && Write)); ``` The `property` is recognized as the start of a parenthesis pair, which is defined in `language-configuration.json`....
**Is your feature request related to a problem? Please describe.** Currently, `slang` supports suppressing warnings using pragma directives like: ```c++ `pragma diagnostic push `pragma diagnostic ignore="-Wempty-member" // some code that...
**Is your feature request related to a problem? Please describe.** Currently, there doesn't seem to be a warning for binary operation width mismatches, which could be a good feature when...
I'm on WSL 2 with Ubuntu 18.04. After I installed the extension I got the following error: ``` [Error - 3:26:07 AM] Starting client failed Launching server using command /home/my_name/.vscode-server/extensions/kendomino.antlrvsix-vscode-1.2.0/out/../Server/net5.0/Server.exe...
**Test case** ```systemverilog module add ( input logic clk, input logic reset, input logic [31:0] a, input logic [31:0] b, output logic [31:0] sum, output logic carry ); assign {carry,...
**Describe the bug** When using a ternary expression in SystemVerilog code, slang does not issue a width mismatch warning when the `-Wconversion` flag is enabled. This behavior is inconsistent with...