Alex Thiele

Results 12 issues of Alex Thiele

Hi! Thank you for the wonderful library. I am building a TUI which uses tab navigation, and after reading through https://github.com/peterbrittain/asciimatics/issues/271 and taking a close look at the tab demo,...

enhancement

Now that [some implementations](http://aotu.ai/docs/) of the OpenVisionCapsules spec are integrating support for loading OpenVINO models onto integrated GPU's, there's a problem of name collisions from the `device_mapping.get_all_devices()` function. Curreuntly it...

https://github.com/opencv/open_vision_capsules/blob/526aa90f34632f8447bed473fe273ca728207b5e/vcap_utils/vcap_utils/backends/backend_rpc_process.py#L209 Currently after process_frame nothing is done to 'sync' any changes the capsule might have done to the StreamState object.

It should using the vcap.testing utilities.

enhancement

I was playing around with this library, and I found that because of the heavy usage of numpy (which releases the global interpeter lock), that a simple `ThreadPoolExecutor` running the...

enhancement

The current version of the COM protocol. [ssS#V#] which sets servos will fail when setting wrist servos, because the uArm Library tries to get calibration data for the wrist servo-...

I noticed this while running tests on a project of mine and thought I'd bring it up: ``` /long/path/dhash.py:66: DeprecationWarning: ANTIALIAS is deprecated and will be removed in Pillow 10...

Add a `wait_for_threads` parameter to `MultiThreadedExecutor.shutdown(...)` which will tell the underlying executor to shut down, and also wait for the threads to join. Resolves https://github.com/ros2/rclpy/issues/893 I'd like some feedback on...

Preface: Thanks for this wonderful library. Below is what might be considered a bug. I'm happy to make a PR with fixes+tests if a fix is agreed upon. ## Bug...

bug

Two questions: 1) Where can I find the code for training the vgg19 model that is available for download in the repositories README? 2) During training, are the weights of...