SureLog - UHDM

Results 19 comments of SureLog - UHDM

.v files are Verilog files unless specified otherwise by the mean of command line options, -sv filename or -sverilog are the most common ways to overwrite the default parsing in...

sv-test has to use the file lists from the respective projects and make sure proper file type identification is done.

Would a solution where all tests are combined into a single invocation and surelog spits out individual reports for all tests in their respective directories work? That would run in...

In this article: https://groups.google.com/forum/#!topic/antlr-discussion/q-8MPVI9lrw The Antlr C++ target author does not give very promising statements about speeding up the warmup time: "I'm not sure we can do much about the...

Another solution is to have a server mode for Surelog. Surelog stays awake the whole test time and there is only one warm-up, the test would not need to be...

Done: https://groups.google.com/forum/#!topic/antlr-discussion/Zhq3F7uHWFM

In the meantime I have added a batch mode capability. Usage: - Run the following script: tests/create_batch_script.tcl at the top of the directory structure containing the unit tests, this produces...

If executed at the root of sv-tests, it performs in 4 minutes as it also processes uvm and other third_party cases. Processed 1085 tests. [ FATAL] : 0 [ SYNTAX]...

The tool specific options can be made to accept both with and without space, For the Verilog Standard compilation options, I think we need to be strict and only accept...

Ok -- Alain Marcel On Sunday, November 17, 2019, 6:09:06 PM PST, Henner Zeller wrote: Though nobody would complain if we also fix it to also be reasonable and accpet...