eda_tools icon indicating copy to clipboard operation
eda_tools copied to clipboard

A Dockerfile with a collections of ready to use open source EDA tools: Yosys, SimbiYosys (with Z3, boolector and Yices2), nextpnr-ice40, netxpnr-ecp5, nextpnr-gowin, Amaranth HDL, Silice and Verilator...

Results 1 eda_tools issues
Sort by recently updated
recently updated
newest added

I'm trying to do some dev with this FPGA but Gowin seems not to run on Mac, was wondering if I could use this Docker for it? https://wiki.sipeed.com/hardware/en/tang/Tang-Nano-9K/Nano-9K.html