T. Meissner

Results 33 comments of T. Meissner

I support the feature request. I can test the examples with Questa later at work if of interest.

I can confirm, that the examples above both should work with Modelsim/Questa. I tried it two variants: - DelayCycles is a constant derived from a generic - DelayCycles is a...

The unit is a combinatorical implementation of a mastrovito-multiplier looking similar to: ```vhdl function mul_gf_2exp128(a, b : in std_logic_vector(127 downto 0)) return std_logic_vector is variable v_c : std_logic_vector(127 downto 0);...