Marek Materzok

Results 12 comments of Marek Materzok

This seems like a nice thing to have - I'm all for improved user experience. Also, if this is done right, this can work well with moving simulation logic out...

Didn't test it yet, but the code looks fine.

Changing the gate's input/output characteristics is currently not possible, as it risks leaving the circuit in some weird, inconsistent state, and breaking the simulation. Backbone.js, the library used by JointJS...

I don't really understand your question. Yosys2digitaljs takes Yosys-genereated JSON, and generates DigitalJS-compatible JSON. Yosys's JSON format describes connections using numbered, single bit nets, while DigitalJS's format describes connections of...

Your proposition is fine. The information about the circuit correctness should also be accessible by code, for example by a property on HeadlessCircuit. Also, I worry about complexity of the...

I'm seriously interested in having simulation capability in icestudio. I'm planning an undergrad course on basic digital logic for CS students, and I'm looking for a conceptually simple toolchain for...

I'm ignoring the snarky and unnecessary remark and will focus on the heart of the matter only. Yes, I'm aware of the issues involved in ensuring quality of board definitions....

I'm deeply sorry that you feel offended by what I've written. I'm trying to communicate about the project not because of entitlement (how could I be, if I didn't pay...

Two thoughts: * This might be partially related to the RS select order - a bad order of selecting instructions from RS can impact performance. * Announcement needs to be...

No change in benchmarks, as Wishbone Classic doesn't support pipelining.