Martin Cizmar

Results 5 comments of Martin Cizmar

I am having the same problem with 2d array defined as: ```VHDL subtype CCD_Width_Range is natural range 0 to 2752 - 1; subtype CCD_Height_Range is natural range 0 to 2002...

Yes, this one is even more interesting. It runs with 8MB stack limit but still it tries to allocate all of my RAM :). Running LLVM flavour, but same thing...

Where's the ~60E6 coming from? I see that my model needs: 2752 * 2002 * 144B ~= 760MB * 3 (driver, TB, model) ~= 2300MB Where did I err in...

Ah, thanks again. It makes sense now.

Any news on the fix? I am hitting the same problem. I tried debugging this myself but I am not really an active user of python.