kusnezoff-alexander

Results 5 issues of kusnezoff-alexander

As said in the docs: `This function will not return until the filesystem is unmounted.` (~[see docs](https://docs.rs/fuser/latest/fuser/fn.mount2.html)) The reference implementation [`libfuse`](https://github.com/libfuse/libfuse) does in fact return after a `mount` so I...

### 🐛 Describe the bug Example: ```md `a==1` some-text `b==2` ``` Renders as \`a[1` some-text `b]()2\` (hiding the `==` on both sides) Executing `:Inspect` upon the text yields: ``` Treesitter...

bug

**Language**: VHDL Example file: ```vhdl library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity decoder is generic ( SELBITS : positive := 2 ); port ( en : in std_logic; sel :...

enhancement

Running dlio with multiple nodes on slurm causes a slurm kill (without any further error output unfortunately). It does work with single-node runs though (!). I would appreciate if someone...

I would like to convert the html produced by markmap to svg. Directly providing this option (as suggested by #66) would be even nicer. Thank you