Konstantinos Agiannis
Konstantinos Agiannis
Judging by the results you show you have an HDD. In HDDs random reads or writes are slow. Bcachefs is a COW filesystem in which writes are written sequentially. This...
The dictionary is [this](https://github.com/AnySoftKeyboard/LanguagePack/blob/Greek/dictionary/el_wordlist.xml)
Hello, I don't think it is a good idea to add a hardware backend. For FPGAs and ASIC it is better to use NFAs than DFAs that re2c produces. There...
A more complete VHDL example ```vhdl library IEEE; use IEEE.std_logic_1164.all; entity NFA is generic( N: positive := 8 ); port( input: in std_logic_vector (N-1 downto 0); match: out std_logic; clock:...
Hello, thank you for using FPC. For this kind of files, It is better to use some kind of RLE first and then entropy code. FSE can win here because...
Hello extern "C" is used when fpc.c is compiled as c but then included by a c++ application To make it work you should either compile fpc.c seperately as c...
Also you should notice that fpc.c uses variable length arrays that they are not present in standard c++. They are included only in standard c (C99 and above). So it...