gowin_flipflop_drainer icon indicating copy to clipboard operation
gowin_flipflop_drainer copied to clipboard

A test case for stress testing Tang Nano 4K and 9K and Primer 20K (Gowin FPGAs)

Results 3 gowin_flipflop_drainer issues
Sort by recently updated
recently updated
newest added

Hi. I am currently struggling with a problem where a relatively large design (80% CLS utilization) on the GW1NR-LV9 does not work with chips from newer manufacturing lots. It works...

Hi, Thanks for your work. It seems to be a potential issue for those "China FPGAs", yeah, they have their own standard quality different outside of China. I work on...

Gowin ide seem unable to calculate properly all timings because there is no timing paths to get frequency of hdmi_clk_5x . [Gowin documentation](http://cdn.gowinsemi.com.cn/SUG940E.pdf) section 5.1.3 (see notes) recommend to add...