verible icon indicating copy to clipboard operation
verible copied to clipboard

Documentation: show how to create a compilation database with bazel

Open IEncinas10 opened this issue 11 months ago • 0 comments

https://github.com/chipsalliance/verible/blob/master/doc/development.md already contains some tips useful for newcomers. Maybe it would be worth pointing to https://github.com/chipsalliance/verible/blob/master/.github/bin/make-compilation-db.sh to show how to create a compilation database for clang tooling.

IEncinas10 avatar Aug 10 '23 16:08 IEncinas10