sv-tests icon indicating copy to clipboard operation
sv-tests copied to clipboard

Fix BlackParrot synthesis in Synlig

Open robertszczepanski opened this issue 9 months ago • 1 comments

This PR applies a filelist patch for BlackParrot core in order to make it synthesizable in Synlig, the patch is the same as the one used in synlig. Even though test passes, logs show a lot of undriven wires and removed modules and this might require a closer look so I'm opening this as a draft PR for now to see if it behaves the same way in CI.

robertszczepanski avatar Sep 28 '23 15:09 robertszczepanski