bracket-lens-vscode
bracket-lens-vscode copied to clipboard
hi,i am using system verilog language, and i have set this below in my setting json, but there is nothing happened,could you please give me some guide to make this work
"[systemverilog]":{ "bracketLens.languageConfiguration": { "word": [ { "opening": "begin", "closing": "end", "headerMode": "smart", "inters": [] } ], "symbol": [ { "opening": "{", "closing": "}", "headerMode": "smart", "inters": [] }, ] } }
this is my SV code:
class test_my extends uvm_test;
int value;
function new(string name = "test_my ");
if (value==0) begin
`uvm_info("WHERE_PRINT","get one transaction, copy and print it:", UVM_LOW)
end
else if (value==1) begin
`uvm_info("WHERE_PRINT","get one transaction, copy and print it:", UVM_LOW)
end
endfunction: new
endclass: test_my
bracketLens.minBracketScopeLines
's default value is 5. Decrease this setting value.
And run Bracket Lens: Update Brackets
command or set bracketlens.mode
setting item to auto
.
bracketLens.minBracketScopeLines
's default value is 5. Decrease this setting value. And runBracket Lens: Update Brackets
command or setbracketlens.mode
setting item toauto
.
thanks so much ,it works!
by the way,how can i configure this situation: class tb_adder8;
function new(string name = "tb_adder8");
$display("kkk");
endfunction
endclass
i have config
"word": [
{
"opening": "begin",
"closing": "end",
"headerMode": "smart",
"inters": []
},
{
"opening": "function",
"closing": "endfunction",
"headerMode": "smart",
"inters": []
},
{
"opening": "class",
"closing": "endclass",
"headerMode": "smart",
"inters": []
},
]
but it can not work,so could you please give me some guide?