edalize icon indicating copy to clipboard operation
edalize copied to clipboard

F4PGA Flow: restructured tests

Open Pocketkid2 opened this issue 2 years ago • 0 comments

This PR includes (and thus requires) #322

Now tests both VPR and NextPNR flows for F4PGA. VPR uses Yosys the way Symbiflow did, and NextPNR uses Yosys the default way. Also checks for openocd config file. These tests are intended for Xilinx 7 series boards as that is what the new F4PGA module currently supports.

Pocketkid2 avatar Jul 01 '22 19:07 Pocketkid2