ghdl-cosim icon indicating copy to clipboard operation
ghdl-cosim copied to clipboard

Documentation with code examples about interfacing VHDL with foreign languages and tools through GHDL

Results 13 ghdl-cosim issues
Sort by recently updated
recently updated
newest added

if i want to know the driver of the reg signal,what should i do?

This repository was created by merging the following resources: - [ghdl/ghdl-systemc-fosdem16](https://github.com/ghdl/ghdl-systemc-fosdem16) (ghdl/ghdl-systemc-fosdem16#1). - The content from section `using/Foreign.rst` and `examples/README.rst` (ghdl/ghdl#1216). - Examples gathered in https://github.com/eine/hwd-ide/tree/develop/examples/VHPI. - @RocketRoss, contributed a...

Enhancement
Help wanted
Discussion

So let's say I have simple DUT in VHDL which has top ports **a,b,c,d** and inside entity there are signals **e,f,g,h.** consider the below routine I have: ``` static PLI_INT32...

Hi, I'm developing a library to allow my VHDL testbenches to be able to listen to TCP connections and send / receive data. The library is written in a object...

In #14, an example was contributed for wrapping GHDL and executing the simulation step by step through `__ghdl_simulation_step`. Further interesting examples would deal with simulation time explicitly. I am unsure...

Enhancement
Interface: C

vhpidirect/arrays/matrices/framebuffer was merged in #20. These are ideas to enhance it: - X11 *backend*: - [ ] Allow using a canvas smaller than the screen/pixmap. - [ ] Allow resizing...

Enhancement
Help wanted
Interface: VHPIDIRECT

I have taken the intvector subexamples and updated their execution from run.sh files to makefiles. After discussing possible formatting of the makefiles and perhaps its contents, this PR's changes will...

In this PR four VPI usage examples are added. ## VPI examples The directory contains some VPI usage examples: 1. helloworld: minimal VPI code example that runs a simulation, prints...

Interface: VPI

This issue is to discuss the implementation of a header file `ghdl.h` that provides an API to (de)code/convert GHDL's non-trivial data types. Precisely, to update https://ghdl.github.io/ghdl-cosim/vhpidirect/declarations.html by providing an easy-to-use...

Enhancement
Interface: VHPIDIRECT