VHDLFormatter
VHDLFormatter copied to clipboard
Formater Bug in packages
Report a bug
Hello, thanks for your VHDL formater. It's very helpful.
I noticed that it has a problem with formating pkg(s). The below code is VHDL code which won't work on the formater.
Thanks again.
Input
library ieee;
use ieee.std_logic_1164.all;
package my_record_pkg is
--
-- typedef struct SYMBOL_BUFFER {
-- unsigned int Head;
-- unsigned int Pointer;
-- unsigned int Size;
-- bool Test;
-- char CharacterMsg;
--} SYMBOL_BUFFER, * PSYMBOL_BUFFER;
--
type SYMBOL_BUFFER is record
Head : std_logic_vector(31 downto 0);
Pointer : std_logic_vector(31 downto 0);
Size : std_logic_vector(31 downto 0);
Test : std_logic;
CharacterMsg : std_logic_vector(7 downto 0);
end record SYMBOL_BUFFER;
constant SYMBOL_BUFFER_INIT : SYMBOL_BUFFER := (
Head => (others => '0'),
Pointer => (others => '0'),
Size => (others => '0'),
Test => '0',
CharacterMsg => (others => '0'));
end package my_record_pkg;