Background Work
FireSim Version and Hash
Release: 1.13.0
Hash: a6a6a6
OS Setup
LSB Version: :core-4.1-amd64:core-4.1-noarch
Distributor ID: CentOS
Description: CentOS Linux release 7.9.2009 (Core)
Release: 7.9.2009
Codename: Core
Other Setup
Ex: Prior steps taken / Documentation Followed / etc...
Current Behavior
I tried to run buildafi to generate a design with one medium boom core and a hwacha vector procesor specified with this config:
class HwachaMediumBoomConfig extends Config(
new chipyard.config.WithHwachaTest ++
new hwacha.DefaultHwachaConfig ++ // use Hwacha vector accelerator
new boom.common.WithNMediumBooms(1) ++
Also tried to generate a more complex design with one medium boom, one rocket, Hwacha and Gemmini and it gives the same error. Nevertheless, a config with just two medium booms is generated fine.
The error it gave is very uncleared. I attach the log of the run.
2022-03-29--19-14-27-buildafi-IN9B5HVSNBPK28IT.log
Expected Behavior
I would like to get the design generated correctly.
Other Information
No response
Here is where the log start showing the errors.
2022-03-29 19:15:11,953 [flush ] [INFO ] [localhost] out: [info] running chipyard.Generator --target-dir /home/centos/firesim-copy/sim/generated-src/f1/FireSim-DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimTestChipConfigTweaks_chipyard.HwachaMediumBoomConfig-MCRams_F60MHz_BaseF1Config --name firesim.firesim.FireSim.DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimTestChipConfigTweaks_chipyard.HwachaMediumBoomConfig --top-module firesim.firesim.FireSim --legacy-configs firesim.firesim:DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimTestChipConfigTweaks_chipyard.HwachaMediumBoomConfig
2022-03-29 19:15:18,715 [flush ] [INFO ] [localhost] out: L2 InclusiveCache Client Map:
2022-03-29 19:15:18,716 [flush ] [INFO ] [localhost] out: 0 <= blkdev-tracker0
2022-03-29 19:15:18,716 [flush ] [INFO ] [localhost] out: 1 <= serial-tl
2022-03-29 19:15:18,716 [flush ] [INFO ] [localhost] out: 2 <= Core 0 DCache
2022-03-29 19:15:18,716 [flush ] [INFO ] [localhost] out: 3 <= Core 0 ICache
2022-03-29 19:15:18,716 [flush ] [INFO ] [localhost] out: 4 <= Core 0 ICache
2022-03-29 19:15:18,716 [flush ] [INFO ] [localhost] out: 5 <= HwachaSMU
2022-03-29 19:15:18,716 [flush ] [INFO ] [localhost] out: 6 <= HwachaVMU
2022-03-29 19:15:18,718 [flush ] [INFO ] [localhost] out:
2022-03-29 19:15:21,453 [flush ] [INFO ] [localhost] out: [error] (run-main-0) java.lang.reflect.InvocationTargetException
2022-03-29 19:15:21,471 [flush ] [INFO ] [localhost] out: [error] java.lang.reflect.InvocationTargetException
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] at ... ()
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.stage.phases.PreElaboration.$anonfun$transform$1(PreElaboration.scala:36)
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] at ... ()
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] at ... (Stack trace trimmed to user code only. Rerun with --full-stacktrace to see the full stack trace)
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] Caused by: java.lang.IllegalArgumentException: requirement failed
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] at scala.Predef$.require(Predef.scala:268)
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.ICacheModule.(icache.scala:144)
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.ICache.$anonfun$module$1(icache.scala:47)
2022-03-29 19:15:21,472 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,473 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.ICache.module$lzycompute(icache.scala:47)
2022-03-29 19:15:21,473 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.ICache.module(icache.scala:47)
2022-03-29 19:15:21,473 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.ICache.module(icache.scala:42)
2022-03-29 19:15:21,473 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
2022-03-29 19:15:21,473 [flush ] [INFO ] [localhost] out: [error] at chisel3.Module$.do_apply(Module.scala:53)
2022-03-29 19:15:21,477 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
2022-03-29 19:15:21,477 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,477 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
2022-03-29 19:15:21,478 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.List.flatMap(List.scala:338)
2022-03-29 19:15:21,478 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
2022-03-29 19:15:21,478 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
2022-03-29 19:15:21,478 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.instantiate(LazyModule.scala:335)
2022-03-29 19:15:21,478 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.$anonfun$x$21$1(LazyModule.scala:337)
2022-03-29 19:15:21,478 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
2022-03-29 19:15:21,481 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.(LazyModule.scala:337)
2022-03-29 19:15:21,481 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.BoomFrontendModule.(frontend.scala:324)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.BoomFrontend.$anonfun$module$1(frontend.scala:299)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.BoomFrontend.module$lzycompute(frontend.scala:299)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.BoomFrontend.module(frontend.scala:299)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at boom.ifu.BoomFrontend.module(frontend.scala:297)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at chisel3.Module$.do_apply(Module.scala:53)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
2022-03-29 19:15:21,482 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.List.flatMap(List.scala:338)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.instantiate(LazyModule.scala:335)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.$anonfun$x$21$1(LazyModule.scala:337)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.(LazyModule.scala:337)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.tile.BaseTileModuleImp.(BaseTile.scala:374)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at boom.common.BoomTileModuleImp.(tile.scala:155)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at boom.common.BoomTile.$anonfun$module$1(tile.scala:131)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at boom.common.BoomTile.module$lzycompute(tile.scala:131)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at boom.common.BoomTile.module(tile.scala:131)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at boom.common.BoomTile.module(tile.scala:72)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at chisel3.Module$.do_apply(Module.scala:53)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,483 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
2022-03-29 19:15:21,484 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.List.flatMap(List.scala:338)
2022-03-29 19:15:21,484 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
2022-03-29 19:15:21,484 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.instantiate(LazyModule.scala:344)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$2(LazyModule.scala:357)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at chisel3.withClockAndReset$.apply(MultiClock.scala:26)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$1(LazyModule.scala:357)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.(LazyModule.scala:356)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain$$anon$1.(ClockDomain.scala:10)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain.$anonfun$module$1(ClockDomain.scala:10)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain.module$lzycompute(ClockDomain.scala:10)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain.module(ClockDomain.scala:10)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain.module(ClockDomain.scala:7)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at chisel3.Module$.do_apply(Module.scala:53)
2022-03-29 19:15:21,500 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.List.flatMap(List.scala:338)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.instantiate(LazyModule.scala:344)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$2(LazyModule.scala:357)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at chisel3.withClockAndReset$.apply(MultiClock.scala:26)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$1(LazyModule.scala:357)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.(LazyModule.scala:356)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain$$anon$1.(ClockDomain.scala:10)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain.$anonfun$module$1(ClockDomain.scala:10)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain.module$lzycompute(ClockDomain.scala:10)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain.module(ClockDomain.scala:10)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.prci.Domain.module(ClockDomain.scala:7)
2022-03-29 19:15:21,501 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
2022-03-29 19:15:21,521 [flush ] [INFO ] [localhost] out: [error] at chisel3.Module$.do_apply(Module.scala:53)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.List.flatMap(List.scala:338)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.instantiate(LazyModule.scala:335)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.$anonfun$x$21$1(LazyModule.scala:337)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImp.(LazyModule.scala:337)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.subsystem.BareSubsystemModuleImp.(BaseSubsystem.scala:30)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.subsystem.BaseSubsystemModuleImp.(BaseSubsystem.scala:135)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at chipyard.ChipyardSubsystemModuleImp.(Subsystem.scala:79)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at chipyard.ChipyardSystemModule.(System.scala:40)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at chipyard.DigitalTopModule.(DigitalTop.scala:40)
2022-03-29 19:15:21,522 [flush ] [INFO ] [localhost] out: [error] at chipyard.DigitalTop.$anonfun$module$1(DigitalTop.scala:37)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at chipyard.DigitalTop.module$lzycompute(DigitalTop.scala:37)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at chipyard.DigitalTop.module(DigitalTop.scala:37)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at chipyard.DigitalTop.module(DigitalTop.scala:15)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at chisel3.Module$.do_apply(Module.scala:53)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.List.flatMap(List.scala:338)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.instantiate(LazyModule.scala:344)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$2(LazyModule.scala:357)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at chisel3.withClockAndReset$.apply(MultiClock.scala:26)
2022-03-29 19:15:21,523 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$1(LazyModule.scala:357)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.(LazyModule.scala:356)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at chipyard.ChipTop$$anon$1.(ChipTop.scala:34)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at chipyard.ChipTop.$anonfun$module$1(ChipTop.scala:34)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at chipyard.ChipTop.module$lzycompute(ChipTop.scala:34)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at chipyard.ChipTop.module(ChipTop.scala:34)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at firesim.firesim.FireSim.$anonfun$new$26(FireSim.scala:268)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at chisel3.Module$.do_apply(Module.scala:53)
2022-03-29 19:15:21,551 [flush ] [INFO ] [localhost] out: [error] at firesim.firesim.FireSim.$anonfun$new$25(FireSim.scala:268)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at firesim.firesim.FireSim.$anonfun$new$24(FireSim.scala:268)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.Range.foreach$mVc$sp(Range.scala:158)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at firesim.firesim.FireSim.(FireSim.scala:259)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at sun.reflect.NativeConstructorAccessorImpl.newInstance0(Native Method)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at sun.reflect.NativeConstructorAccessorImpl.newInstance(NativeConstructorAccessorImpl.java:62)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at sun.reflect.DelegatingConstructorAccessorImpl.newInstance(DelegatingConstructorAccessorImpl.java:45)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at java.lang.reflect.Constructor.newInstance(Constructor.java:423)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at freechips.rocketchip.stage.phases.PreElaboration.$anonfun$transform$1(PreElaboration.scala:36)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at chisel3.Module$.do_apply(Module.scala:53)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at chisel3.stage.phases.Elaborate.$anonfun$transform$2(Elaborate.scala:33)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.Builder$.$anonfun$build$1(Builder.scala:719)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at chisel3.internal.Builder$.build(Builder.scala:714)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at chisel3.stage.phases.Elaborate.$anonfun$transform$1(Elaborate.scala:33)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at scala.collection.TraversableLike.$anonfun$flatMap$1(TraversableLike.scala:245)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at scala.collection.mutable.ResizableArray.foreach(ResizableArray.scala:62)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at scala.collection.mutable.ResizableArray.foreach$(ResizableArray.scala:55)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:49)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at scala.collection.TraversableLike.flatMap(TraversableLike.scala:245)
2022-03-29 19:15:21,552 [flush ] [INFO ] [localhost] out: [error] at scala.collection.TraversableLike.flatMap$(TraversableLike.scala:242)
2022-03-29 19:15:21,553 [flush ] [INFO ] [localhost] out: [error] at scala.collection.AbstractTraversable.flatMap(Traversable.scala:108)
2022-03-29 19:15:21,553 [flush ] [INFO ] [localhost] out: [error] at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:28)
2022-03-29 19:15:21,553 [flush ] [INFO ] [localhost] out: [error] at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:21)
2022-03-29 19:15:21,553 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
2022-03-29 19:15:21,586 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
2022-03-29 19:15:21,586 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Translator.transform(Phase.scala:248)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Translator.transform$(Phase.scala:248)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.DependencyManager.$anonfun$transform$5(DependencyManager.scala:280)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.Utils$.time(Utils.scala:181)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.DependencyManager.$anonfun$transform$3(DependencyManager.scala:280)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.List.foldLeft(List.scala:89)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.DependencyManager.transform(DependencyManager.scala:269)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.DependencyManager.transform$(DependencyManager.scala:255)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.PhaseManager.transform(DependencyManager.scala:443)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at chisel3.stage.ChiselStage.run(ChiselStage.scala:45)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Translator.transform(Phase.scala:248)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Translator.transform$(Phase.scala:248)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
2022-03-29 19:15:21,587 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Stage.$anonfun$transform$5(Stage.scala:47)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at scala.collection.immutable.List.foldLeft(List.scala:89)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:47)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at logger.Logger$.$anonfun$makeScope$2(Logger.scala:137)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at logger.Logger$.makeScope(Logger.scala:135)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Stage.transform(Stage.scala:47)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.Stage.execute(Stage.scala:58)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at firrtl.options.StageMain.main(Stage.scala:71)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at chipyard.Generator.main(Generator.scala)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
2022-03-29 19:15:21,588 [flush ] [INFO ] [localhost] out: [error] at java.lang.reflect.Method.invoke(Method.java:498)
2022-03-29 19:15:21,630 [flush ] [INFO ] [localhost] out: [error] stack trace is suppressed; run last Compile / bgRunMain for the full output
2022-03-29 19:15:21,631 [flush ] [INFO ] [localhost] out: [error] Nonzero exit code: 1
2022-03-29 19:15:21,631 [flush ] [INFO ] [localhost] out: [error] (Compile / runMain) Nonzero exit code: 1
2022-03-29 19:15:21,631 [flush ] [INFO ] [localhost] out: [error] Total time: 16 s, completed Mar 29, 2022 7:15:21 PM
2022-03-29 19:15:22,097 [flush ] [INFO ] [localhost] out: /home/centos/firesim-copy/sim/src/main/makefrag/firesim/Makefrag:43: recipe for target '/home/centos/firesim-copy/sim/generated-src/f1/FireSim-DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimTestChipConfigTweaks_chipyard.HwachaMediumBoomConfig-MCRams_F60MHz_BaseF1Config/firesim.firesim.FireSim.DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimTestChipConfigTweaks_chipyard.HwachaMediumBoomConfig.fir' failed
2022-03-29 19:15:22,098 [flush ] [INFO ] [localhost] out: make: *** [/home/centos/firesim-copy/sim/generated-src/f1/FireSim-DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimTestChipConfigTweaks_chipyard.HwachaMediumBoomConfig-MCRams_F60MHz_BaseF1Config/firesim.firesim.FireSim.DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimTestChipConfigTweaks_chipyard.HwachaMediumBoomConfig.fir] Error 1
2022-03-29 19:15:22,101 [flush ] [INFO ] [localhost] out:
2022-03-29 19:15:22,101 [flush ] [INFO ] Fatal error: run() received nonzero return code 2 while executing!