f4pga-arch-defs
f4pga-arch-defs copied to clipboard
symbiflow_place exit with code 1
https://lists.librecores.org/pipermail/symbiflow/2021-December/000059.html
I am attempting to build FonTamSOC targeting NexysA7.
symbiflow_place
exit with code 1
Find full logs at: https://drive.google.com/file/d/13_29bNH2x3Fo68F_nOYL5NlFapP5S7ZQ/view?usp=sharing
Steps to reproduce failure:
# clone verilog sources:
git clone https://github.com/fontamsoc/hw.git
cd hw
git checkout symbiflow_support
# prerequisite:
ln -snf pu32-nexys4ddr/litedram/litedram.hex litedram.hex
symbiflow_synth -t nexys4ddr -v pu32-nexys4ddr/nexys4ddr.v -d artix7 -p xc7a100tcsg324-1 -x pu32-nexys4ddr/nexys4ddr.xdc &>/dev/null
symbiflow_pack -e nexys4ddr.eblif -d xc7a100t_test &>/dev/null
symbiflow_place -e nexys4ddr.eblif -d xc7a100t_test -n nexys4ddr.net -P xc7a100tcsg324-1 &>/dev/null
echo $?
I ran
symbiflow_place
without&>/dev/null
and got following output:Using default VPR options. Generating coinstrains ... Traceback (most recent call last): File "/home/tambewilliam/opt/symbiflow/xc7/install/share/symbiflow/scripts/prjxray_create_place_constraints.py", line 1036, in <module> main() File "/home/tambewilliam/opt/symbiflow/xc7/install/share/symbiflow/scripts/prjxray_create_place_constraints.py", line 961, in main blocks, grid_capacities): File "/home/tambewilliam/opt/symbiflow/xc7/install/share/symbiflow/scripts/prjxray_create_place_constraints.py", line 680, in place_clocks assert loc is not None, (clock_name, available_placements[key]) AssertionError: ('pll.plle2_adv_inst', ['PLLE2_ADV_X1Y2'])
oh, OK so it failed on https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/toolchain_wrappers/symbiflow_place#L24 we'll have to take a look at this. Can you file an issue in https://github.com/SymbiFlow/symbiflow-arch-defs? So it is not lost.
Thanks Karol
@kgugala