eine

Results 49 issues of eine

The extension looks for 'hdl-prj.json' in the root of the workspace only. However, it is reasonable for users to have multiple projects in different subdirs of the workspace. I think...

Coming from ghdl/ghdl#1578 /cc @tmeissner

As commented in [#8](https://github.com/ghdl/docker/issues/8#issuecomment-510975831), `libgnat` is a dependency of GHDL, but `libgnarl` is not. We should check which is the piece of source code that is making `libgnarl` be added...

help wanted

Currently, some artifacts are built from tarballs. As a result, users of docker images cannot know exactly which version of GHDL is included. We should add a proper identifier. Also,...

enhancement

Currently, all images are rolling releases, i.e. all of them are automatically updated if the corresponding travis job succeeds. On the one hand, the 'buildtest' branches (`mcode`, `mcodegpl`, `llvm` and...

enhancement

Sizes of Buster images: ``` ghdl/run:buster-gcc 96MB ghdl/run:buster-llvm-7 116MB ghdl/run:buster-mcode 84.6MB ghdl/ghdl:buster-gcc-8.3.0 303MB - 96MB = 207MB ghdl/ghdl:buster-llvm-7 123MB - 116MB = 7MB ghdl/ghdl:buster-mcode 88MB - 84.6 = 3.4MB ```...

enhancement

From ghdl/ghdl#477 # Packing, integration with Appveyor and RTD There is no built-in feature in travis to merge all the artifacts and deploy to github just once, instead of having...

The purpose of this issue is to track bugs reported to maintainers of GHDL regarding compliance with these test suites. - `vhdl_2008/tb_condition_operator.vhd` - ghdl/ghdl#977 - `vhdl_2008/tb_matching_operator.vhd` - ghdl/ghdl#1063 - `vhdl_2008/tb_entity_generic_type.vhd`...

Simulator: GHDL
VHDL-2008

This is just a draft to show that it is not possible to execute `msys2do` multiple times in the same step. This PR is based on #16, and the difference...