clash-compiler icon indicating copy to clipboard operation
clash-compiler copied to clipboard

Can not set initial contents of trueDualPortRAM

Open lmbollen opened this issue 2 years ago • 1 comments

The trueDualPortRAM component (https://hackage.haskell.org/package/clash-prelude-1.6.3/docs/Clash-Explicit-BlockRam.html#v:trueDualPortBlockRam) has no API to set the initial contents like blockRam (https://hackage.haskell.org/package/clash-prelude-1.6.3/docs/Clash-Explicit-BlockRam.html#v:blockRam).

As far as I know there is no technical reason to not be able to define the initial contents and the added value of this functionality seems very significant to me.

lmbollen avatar May 10 '22 09:05 lmbollen

Note that our asynchronous RAMs also only have undefined initial contents. It could be worthwhile to add it there as well.

DigitalBrains1 avatar May 10 '22 09:05 DigitalBrains1