Alberto Perro

Results 19 comments of Alberto Perro

``` pio platform install gd32v WARNING: This command is deprecated and will be removed in the next releases. Please use `pio pkg install` instead. Platform Manager: [email protected] is already installed...

@alexisfrjp which kernel version are you using?

nvc version output: ``` nvc 1.13-devel (29905fb) (Using LLVM 18.1.1) ``` Thanks! now the issue is fixed! :+1:

Unfortunately, the simulator I'm using is not able to export some signals into the FST format (see [issue on GHDL](https://github.com/ghdl/ghdl/issues/2527#issue-1973128643) ), so I need to use GHW.

Thanks for the help! Here is the GHW file in question. https://cernbox.cern.ch/s/GBA8otWWO0MgeqR

Thank you for the analysis. The simulation time should be around 500us (fastest clk is 4 ns). I will try to help to work on the libghw.

I could not reproduce it anymore, I will close the issue. Thank you!

Sorry if I'm reviving this thread. I'm still using the `altera_mf` library. i wanted to use the `dcfifo` entity, but I got this error. ``` Importing module fifo. Importing module...

Here you go @tgingold. ```vhdl library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package utils is type t_comm_channel is record valid : std_logic; ready : std_logic; data : std_logic_vector(15 downto 0); end...