Artyom Bologov

Results 67 issues of Artyom Bologov

Hi! I've seen your library on r/C_programming, and I noticed a similarity of your macros and [built-in `assert` macro](https://en.cppreference.com/w/c/error/assert). One notable feature of this macro is that it expands to...

I see you're using `__FILE__` and `__LINE__` in your macros, but I don't see a `__func__` (added in C99). Is there any reason not to use it? I also see...

A rough set of features/commands (most of them have synonyms, in case we do several commands or need a replacement): - [ ] Edit s-expressions structurally: - [ ] Act...

enhancement
help wanted
brainstorm/discussion

- [ ] Closure inspection - [x] ECL - [ ] Allegro - [X] `sys::augmentable-environment` - [ ] `#` - [ ] CLASP - [x] CLISP - [ ] Lispworks...

enhancement
help wanted
good first issue

- [ ] Current frame/function fetching: - [X] ECL - [X] ABCL - [ ] Allegro - [ ] CLASP - [ ] Lispworks - [ ] Corman - [...

enhancement
brainstorm/discussion

Now that I've made [Trivial Toplevel Commands](https://github.com/aartaka/trivial-toplevel-commands), the model of "always in debugger/inspector" that Allegro employs is quite tempting. Given this, custom command processors that Graven Image defines feel like...

brainstorm/discussion

On 1.9.2, ABCL recognises Gray Streams as streams, but now it complains about missing methods for all the dribble streams. Methods it asked me before I've given up: `gray-streams::gray-stream-element-type` and...

bug
help wanted

Function specs: - [ ] Function. - [ ] Setf function. - [ ] Method. - [ ] Compiler macro. - [ ] Labels/flet. - [ ] Package string. SBCL...

enhancement

[It's a good visual help to have columns aligned](https://www.reddit.com/r/Common_Lisp/comments/15nrm1k/comment/jvura20/?context=3), so it might be useful to have it in - [ ] `describe*`, - [ ] `inspect*`, - [ ] `apropos*`...

enhancement
good first issue

- [ ] Heap size/use - [ ] Allegro - [ ] CLASP - [ ] Lispworks - [ ] Corman - [ ] CMUCL, SCL - [ ] MKCL...

help wanted
good first issue