vscode-terosHDL icon indicating copy to clipboard operation
vscode-terosHDL copied to clipboard

adopt custom-editors for vcd file

Open heartacker opened this issue 2 years ago • 0 comments

https://code.visualstudio.com/api/extension-guides/custom-editors

heartacker avatar Feb 14 '22 07:02 heartacker