automatic-verilog icon indicating copy to clipboard operation
automatic-verilog copied to clipboard

AutoDef wrong width

Open frankli998 opened this issue 1 year ago • 1 comments

autodef cannot handle generate and single-bit index correctly

image

image

frankli998 avatar Feb 03 '23 09:02 frankli998