ulx3s-nmigen-examples icon indicating copy to clipboard operation
ulx3s-nmigen-examples copied to clipboard

nMigen examples for the ULX3S board

ULX3S nMigen examples

This repository contains nMigen examples for the ULX3S FPGA board. You need to have Yosys, nextpnr, project Trellis, and openFPGAloader installed.

Each directory contains an example, which you can build and run by simply running:

python top_<example>.py <FPGA variant>

where <FPGA variant> is either 12F, 25F, 45F, or 85F depending on the size of the FPGA on your ULX3S board. I have an 85F board so to build the dvi example, I run:

python top_vgatest.py 85F

in the dvi folder.