tree-sitter-verilog icon indicating copy to clipboard operation
tree-sitter-verilog copied to clipboard

SystemVerilog grammar for tree-sitter

Results 40 tree-sitter-verilog issues
Sort by recently updated
recently updated
newest added

Hi, I can not figure out why the installation fails on Windows when it was working two/three moths ago. https://github.com/TerosTechnology/colibri/runs/400677109?check_suite_focus=true In Linux is working fine. Is this something directly related...

bug

https://symbiflow.github.io/sv-tests/tests/chapter-8/8.6--methods.sv.html https://symbiflow.github.io/sv-tests/tests/chapter-8/8.9--static_properties.sv.html https://symbiflow.github.io/sv-tests/tests/chapter-8/8.12--assignment.sv.html https://symbiflow.github.io/sv-tests/tests/chapter-8/8.12--shallow_copy.sv.html https://symbiflow.github.io/sv-tests/tests/chapter-8/8.24--out_of_block_methods.sv.html https://symbiflow.github.io/sv-tests/tests/chapter-15/15.4--mailbox-blocking.sv.html

Fail to assume `new` to be an identifier, not the `class_new` ```verilog module class_tb (); initial begin inst = new; end endmodule ```

bug
hacktoberfest

Fails: ```verilog module class_tb (); function void a::b(); endfunction endmodule ```

bug
hacktoberfest

### Minimum minimorum: ```verilog module top (); initial begin p1.lo = 4'h5; end endmodule ``` ### Failures: ``` tests/chapter-7/structures/unpacked/basic.sv tests/chapter-7/structures/unpacked/default-value.sv tests/chapter-7/unions/packed/basic.sv tests/chapter-7/unions/basic.sv ```

bug

https://symbiflow.github.io/sv-tests/#tree_sitter_verilog|6.20.2|parameter_aggregate ```verilog /* :name: parameter_aggregate :description: parameter aggregate type tests :should_fail: 0 :tags: 6.20.2 */ module top(); parameter logic [31:0] p [3:0] = '{1, 2, 3, 4}; endmodule ```

bug
hacktoberfest

https://ide.atom.io ## Features * Auto completion * Diagnostics (errors & warnings, with autofixes) * Document outline * Find references * Go to definition * Hover * Signature help * Format...

enhancement

implement and test 22.11 pragma directive [https://symbiflow.github.io/sv-tests/#tree_sitter_verilog|5.6.4|pragma-directive](https://symbiflow.github.io/sv-tests/#tree_sitter_verilog|5.6.4|pragma-directive)

enhancement
spec
hacktoberfest

http://tree-sitter.github.io/tree-sitter/playground

enhancement
hacktoberfest

Tests: * https://symbiflow.github.io/sv-tests/#tree_sitter_verilog|hdlconv|hdlconvertor_p550 * https://symbiflow.github.io/sv-tests/#tree_sitter_verilog|hdlconv|hdlconvertor_p552

spec
hacktoberfest