grammars-v4 icon indicating copy to clipboard operation
grammars-v4 copied to clipboard

Verilog hang jdk 16 or 17

Open quantrpeter opened this issue 4 years ago • 8 comments

Hi Parsing this file using Verilog2001.g4 will hang, forever looping. It only happen in Mac.

/* verilator lint_off UNUSED */
module id(input wire rst,
          input wire[`INST_WIDTH] inst_i,
          input wire[`MXLEN] reg1_data_i,
          input wire[`MXLEN] reg2_data_i,
          output reg reg1_read_o,
          output reg reg2_read_o,
          output reg[`REG_WIDTH] reg1_addr_o,
          output reg[`REG_WIDTH] reg2_addr_o,
          output reg[`AluOpBus] aluop_o,
          output reg[`AluSelBus] alusel_o,
          output reg[`MXLEN] reg1_o,
          output reg[`MXLEN] reg2_o,
          output reg[`REG_WIDTH] wd_o,
          output reg wreg_o);
    
    wire[6:0] opcode = inst_i[6:0];
    wire[2:0] funct3 = inst_i[14:12];
    wire[6:0] funct7 = inst_i[31:25];
    
    reg[`MXLEN] imm;
    reg instvalid;
    
    always @(*) begin
        if (rst == `RESET) begin
            aluop_o     = 16'h0;
            alusel_o    = 3'b000;
            wd_o        = 5'b00000;
            wreg_o      = 1'b0;
            instvalid   = 1'b0;
            reg1_read_o = 1'b0;
            reg2_read_o = 1'b0;
            reg1_addr_o = 5'b00000;
            reg2_addr_o = 5'b00000;
            imm         = `ZeroDWord;
            end else begin
            aluop_o     = 16'h0;
            alusel_o    = 3'b000;
            wd_o        = 5'b00000;
            wreg_o      = 1'b0;
            instvalid   = 1'b1;
            reg1_read_o = 1'b0;
            reg2_read_o = 1'b0;
            reg1_addr_o = inst_i[19:15];
            reg2_addr_o = inst_i[24:20];
            imm         = `ZeroDWord;
            
            if (opcode == 7'b0110011 && funct3 == 3'd6 && funct7 == 7'd0) begin
                //OR
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;                
                aluop_o     = `EXE_I_OR;
                reg2_read_o = 1'b1;
                alusel_o    = `EXE_RES_LOGIC;               
                imm         = {48'h0, inst_i[15:0]};
                wd_o        = inst_i[20:16];
                instvalid   = 1'b0;
            end else if (opcode == 7'b0010011 && funct3 == 3'd6) begin
                //ORI
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;                
                aluop_o     = `EXE_I_OR;
                reg2_read_o = 1'b0;
                alusel_o    = `EXE_RES_LOGIC;               
                imm         = {48'h0, inst_i[15:0]};
                wd_o        = inst_i[20:16];
                instvalid   = 1'b0;
            end else if (opcode == 7'b0110011 && funct3 == 3'd0 && funct7 == 7'd0) begin
               //add 
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_ADD;
                reg2_read_o = 1'b1;
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if (opcode == 7'b0010011 && funct3 == 3'd0) begin
               //addi
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_ADD;
                reg2_read_o = 1'b0;               
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0110011 && funct3 == 3'd7 && funct7 == 7'd0)begin
                //and
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_AND;
                reg2_read_o = 1'b1;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0010011 && funct3 == 3'd7) begin
                 //andi
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_AND;
                reg2_read_o = 1'b0;
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0110011 && funct3 == 3'd4 && funct7 == 7'd0)begin
                //xor
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_XOR;
                reg2_read_o = 1'b1;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0010011 && funct3 == 3'd4) begin
                 //xori
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_XOR;
                reg2_read_o = 1'b0;
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0110011 && funct3 == 3'd2 && funct7 == 7'd0)begin
                //slt
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                reg2_read_o = 1'b1; 
                aluop_o     = `EXE_I_SLT;   
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0010011 && funct3 == 3'd4) begin
                 //slti
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_SLT;
                reg2_read_o = 1'b0;
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0110011 && funct3 == 3'd3 && funct7 == 7'd0)begin
                //sltu
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_SLTU;
                reg2_read_o = 1'b1;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0010011 && funct3 == 3'd4) begin
                 //sltiu
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_SLTIU;
                reg2_read_o = 1'b0;
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0110011 && funct3 == 3'd0 && funct7 == 7'b0100000)begin
                //sub
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_SUB;
                reg2_read_o = 1'b1;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0110011 && funct3 == 3'd1 && funct7 == 7'd0)begin
                //sll
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_SLL;
                reg2_read_o = 1'b1;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0110011 && funct3 == 3'b101 && funct7 == 7'd0) begin
                 //srl
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_SRL;
                reg2_read_o = 1'b1;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0110011 && funct3 == 3'b101 && funct7 == 7'b0100000) begin
                 //sra
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_SRA;
                reg2_read_o = 1'b1;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if (inst_i == 32'b00000000000000000000000001110011) begin
                //ecall
                aluop_o = `EXE_I_ECALL;
                alusel_o = `EXE_RES_JUMP;
                instvalid   = 1'b0;
            end else if(inst_i == 32'b00000000000100000000000001110011) begin
                //ebreak
                aluop_o = `EXE_I_EBREAK;
                alusel_o = `EXE_RES_JUMP;
                instvalid   = 1'b0;
            end else if(opcode == 7'b1100111 && funct3 == 3'b000) begin
                 //jalr
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_JALR;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_JUMP;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;                
            end else if(opcode == 7'b0110111) begin
                 //lui
                wreg_o      = 1'b1;
                reg1_read_o = 1'b0;
                aluop_o     = `EXE_I_LUI;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {44'h0, inst_i[31:12]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;                
            end else if(opcode == 7'b0010111) begin
                 //auipc
                wreg_o      = 1'b1;
                reg1_read_o = 1'b0;
                aluop_o     = `EXE_I_AUIPC;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {44'h0, inst_i[31:12]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;                
            end else if(opcode == 7'b0000011 && funct3 == 3'b000) begin
                 //LB
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_LB;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0000011 && funct3 == 3'b001) begin
                 //LH
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_LH;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0000011 && funct3 == 3'b010) begin
                 //LW
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_LW;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0000011 && funct3 == 3'b100) begin
                 //LBU
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_LBU;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0000011 && funct3 == 3'b101) begin
                 //LHU
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                aluop_o     = `EXE_I_LHU;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:20]};
                wd_o        = inst_i[11:7];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0100011 && funct3 == 3'b000) begin
                //SB
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                reg1_addr_o = inst_i[24:20];
                aluop_o     = `EXE_I_SB;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:25],inst_i[11:7] };
                wd_o        = inst_i[19:15];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0100011 && funct3 == 3'b001) begin
                //SH
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                reg1_addr_o = inst_i[24:20];
                aluop_o     = `EXE_I_SH;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:25],inst_i[11:7] };
                wd_o        = inst_i[19:15];
                instvalid   = 1'b0;
            end else if(opcode == 7'b0100011 && funct3 == 3'b010) begin
                //SW
                wreg_o      = 1'b1;
                reg1_read_o = 1'b1;
                reg1_addr_o = inst_i[24:20];
                aluop_o     = `EXE_I_SW;
                reg2_read_o = 1'b0;          
                alusel_o    = `EXE_RES_LOGIC;                
                imm         = {52'h0, inst_i[31:25],inst_i[11:7] };
                wd_o        = inst_i[19:15];
                instvalid   = 1'b0;
            end
        end
    end
    
    always @(*) begin
        if (rst == `RESET) begin
            reg1_o = `ZeroDWord;
            end else if (reg1_read_o == 1'b1) begin
            reg1_o = reg1_data_i;
            end else if (reg1_read_o == 1'b0) begin
            reg1_o = imm;
            end else begin
            reg1_o = `ZeroDWord;
        end
    end
    
    always @(*) begin
        if (rst == `RESET) begin
            reg2_o = `ZeroDWord;
            end else if (reg2_read_o == 1'b1) begin
            reg2_o = reg2_data_i;
            end else if (reg2_read_o == 1'b0) begin
            reg2_o = imm;
            end else begin
            reg2_o = `ZeroDWord;
        end
    end
endmodule

thanks

quantrpeter avatar Oct 22 '21 16:10 quantrpeter

Could you elaborate what runtime are you using?

KvanTTT avatar Oct 22 '21 16:10 KvanTTT

i am use the previous verions of Verilog2001.g4 https://gitlab.com/quantr/toolchain/verilog-compiler/-/blob/main/src/main/java/hk/quantr/verilogcompiler/antlr/Verilog2001.g4 , my pom.xml is in https://gitlab.com/quantr/toolchain/verilog-compiler

I tried 4.9.2 and 4.8-1 , both have this problem. thanks

quantrpeter avatar Oct 22 '21 18:10 quantrpeter

one more hint, i got two computers, same jdk 16.0.1 and maven 3.8.3, one has 32GB ram and the other has 128GB ram. 128GB one works.

quantrpeter avatar Oct 22 '21 18:10 quantrpeter

I trim down the target file so it complete in 48 sec (still very slow), below are the profile result, hope this help

				ParseInfo parseInfo = parser.getParseInfo();
				ATN atn = parser.getATN();
				for (DecisionInfo di : parseInfo.getDecisionInfo()) {
					DecisionState ds = atn.decisionToState.get(di.decision);
					String ruleName = Verilog2001Parser.ruleNames[ds.ruleIndex];

					System.out.println(ruleName + " \t\t -> \t\t " + di.toString());
				}
config_declaration 		 -> 		 {decision=0, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
design_statement 		 -> 		 {decision=1, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
design_statement 		 -> 		 {decision=2, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
config_rule_statement 		 -> 		 {decision=3, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
inst_name 		 -> 		 {decision=4, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
liblist_clause 		 -> 		 {decision=5, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
cell_clause 		 -> 		 {decision=6, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
use_clause 		 -> 		 {decision=7, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
use_clause 		 -> 		 {decision=8, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
source_text 		 -> 		 {decision=9, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
source_text 		 -> 		 {decision=10, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
description 		 -> 		 {decision=11, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
define 		 -> 		 {decision=12, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
include_statement 		 -> 		 {decision=13, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=14, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=15, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=16, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=17, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=18, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=19, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=20, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=21, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_declaration 		 -> 		 {decision=22, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=5, SLL_ATNTransitions=0, SLL_DFATransitions=4, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_parameter_port_list 		 -> 		 {decision=23, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_ports 		 -> 		 {decision=24, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_port_declarations 		 -> 		 {decision=25, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_port_declarations 		 -> 		 {decision=26, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=2, SLL_ATNTransitions=0, SLL_DFATransitions=2, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port 		 -> 		 {decision=27, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port 		 -> 		 {decision=28, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port 		 -> 		 {decision=29, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port_expression 		 -> 		 {decision=30, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port_expression 		 -> 		 {decision=31, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port_reference 		 -> 		 {decision=32, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port_declaration 		 -> 		 {decision=33, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port_declaration 		 -> 		 {decision=34, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port_declaration 		 -> 		 {decision=35, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
port_declaration 		 -> 		 {decision=36, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=14, SLL_ATNTransitions=0, SLL_DFATransitions=14, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_item 		 -> 		 {decision=37, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_item 		 -> 		 {decision=38, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_item 		 -> 		 {decision=39, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_item 		 -> 		 {decision=40, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_item 		 -> 		 {decision=41, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_item 		 -> 		 {decision=42, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item 		 -> 		 {decision=43, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item 		 -> 		 {decision=44, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item 		 -> 		 {decision=45, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item 		 -> 		 {decision=46, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item 		 -> 		 {decision=47, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item 		 -> 		 {decision=48, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item 		 -> 		 {decision=49, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item 		 -> 		 {decision=50, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=8, SLL_ATNTransitions=0, SLL_DFATransitions=8, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
non_port_module_item 		 -> 		 {decision=51, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
non_port_module_item 		 -> 		 {decision=52, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
non_port_module_item 		 -> 		 {decision=53, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=8, SLL_ATNTransitions=0, SLL_DFATransitions=8, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
non_port_module_item 		 -> 		 {decision=54, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
non_port_module_item 		 -> 		 {decision=55, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
non_port_module_item 		 -> 		 {decision=56, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
non_port_module_item 		 -> 		 {decision=57, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=8, SLL_ATNTransitions=0, SLL_DFATransitions=8, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_or_generate_item_declaration 		 -> 		 {decision=58, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
local_parameter_declaration 		 -> 		 {decision=59, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
local_parameter_declaration 		 -> 		 {decision=60, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
local_parameter_declaration 		 -> 		 {decision=61, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
parameter_declaration_ 		 -> 		 {decision=62, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
parameter_declaration_ 		 -> 		 {decision=63, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
parameter_declaration_ 		 -> 		 {decision=64, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
specparam_declaration 		 -> 		 {decision=65, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
inout_declaration 		 -> 		 {decision=66, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
inout_declaration 		 -> 		 {decision=67, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
inout_declaration 		 -> 		 {decision=68, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
input_declaration 		 -> 		 {decision=69, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
input_declaration 		 -> 		 {decision=70, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
input_declaration 		 -> 		 {decision=71, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=72, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=73, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=74, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=75, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=76, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=77, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=78, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=79, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=80, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_declaration 		 -> 		 {decision=81, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=81, SLL_ATNTransitions=0, SLL_DFATransitions=61, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
reg_declaration 		 -> 		 {decision=82, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
reg_declaration 		 -> 		 {decision=83, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=84, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=85, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=86, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=87, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=88, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=89, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=90, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=91, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=92, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=93, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=94, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=95, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=96, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=97, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=98, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=99, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=100, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=101, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=102, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=103, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=104, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=105, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=106, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=107, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=108, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=109, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_declaration 		 -> 		 {decision=110, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=30, SLL_ATNTransitions=1, SLL_DFATransitions=23, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
real_type 		 -> 		 {decision=111, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
real_type 		 -> 		 {decision=112, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
real_type 		 -> 		 {decision=113, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_type 		 -> 		 {decision=114, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_type 		 -> 		 {decision=115, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_type 		 -> 		 {decision=116, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=4, SLL_ATNTransitions=0, SLL_DFATransitions=4, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
drive_strength 		 -> 		 {decision=117, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
charge_strength 		 -> 		 {decision=118, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delay3 		 -> 		 {decision=119, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delay3 		 -> 		 {decision=120, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delay3 		 -> 		 {decision=121, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delay2 		 -> 		 {decision=122, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delay2 		 -> 		 {decision=123, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delay_value 		 -> 		 {decision=124, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_event_identifiers 		 -> 		 {decision=125, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_event_identifiers 		 -> 		 {decision=126, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_event_identifiers 		 -> 		 {decision=127, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_event_identifiers 		 -> 		 {decision=128, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_event_identifiers 		 -> 		 {decision=129, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_net_identifiers 		 -> 		 {decision=130, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_net_identifiers 		 -> 		 {decision=131, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_net_identifiers 		 -> 		 {decision=132, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_net_identifiers 		 -> 		 {decision=133, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_net_identifiers 		 -> 		 {decision=134, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_genvar_identifiers 		 -> 		 {decision=135, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_port_identifiers 		 -> 		 {decision=136, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=40, SLL_ATNTransitions=0, SLL_DFATransitions=27, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_net_decl_assignments 		 -> 		 {decision=137, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_param_assignments 		 -> 		 {decision=138, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_specparam_assignments 		 -> 		 {decision=139, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_real_identifiers 		 -> 		 {decision=140, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_variable_identifiers 		 -> 		 {decision=141, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_variable_port_identifiers 		 -> 		 {decision=142, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_variable_port_identifiers 		 -> 		 {decision=143, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_variable_port_identifiers 		 -> 		 {decision=144, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
specparam_assignment 		 -> 		 {decision=145, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pulse_control_specparam 		 -> 		 {decision=146, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pulse_control_specparam 		 -> 		 {decision=147, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pulse_control_specparam 		 -> 		 {decision=148, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
range_ 		 -> 		 {decision=149, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=39, SLL_ATNTransitions=0, SLL_DFATransitions=39, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=150, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=151, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=152, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=153, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=154, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=155, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=156, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=157, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=158, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=159, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_declaration 		 -> 		 {decision=160, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_item_declaration 		 -> 		 {decision=161, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_port_list 		 -> 		 {decision=162, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_port 		 -> 		 {decision=163, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
range_or_type 		 -> 		 {decision=164, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_declaration 		 -> 		 {decision=165, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_declaration 		 -> 		 {decision=166, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_declaration 		 -> 		 {decision=167, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_declaration 		 -> 		 {decision=168, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_declaration 		 -> 		 {decision=169, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_declaration 		 -> 		 {decision=170, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_item_declaration 		 -> 		 {decision=171, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_item_declaration 		 -> 		 {decision=172, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_port_list 		 -> 		 {decision=173, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_port_item 		 -> 		 {decision=174, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
tf_decl_header 		 -> 		 {decision=175, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
tf_decl_header 		 -> 		 {decision=176, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
tf_decl_header 		 -> 		 {decision=177, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
tf_decl_header 		 -> 		 {decision=178, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
tf_decl_header 		 -> 		 {decision=179, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
tf_decl_header 		 -> 		 {decision=180, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
tf_decl_header 		 -> 		 {decision=181, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=182, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=183, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=184, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=185, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=186, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=187, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=188, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=189, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_item_declaration 		 -> 		 {decision=190, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_reg_declaration 		 -> 		 {decision=191, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_reg_declaration 		 -> 		 {decision=192, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_block_variable_identifiers 		 -> 		 {decision=193, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_variable_type 		 -> 		 {decision=194, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
block_variable_type 		 -> 		 {decision=195, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=196, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=197, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=198, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=199, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=200, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=201, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=202, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=203, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=204, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=205, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=206, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=207, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=208, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=209, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=210, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=211, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=212, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=213, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=214, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=215, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
gate_instantiation 		 -> 		 {decision=216, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
cmos_switch_instance 		 -> 		 {decision=217, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
enable_gate_instance 		 -> 		 {decision=218, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
mos_switch_instance 		 -> 		 {decision=219, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
n_input_gate_instance 		 -> 		 {decision=220, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
n_input_gate_instance 		 -> 		 {decision=221, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
n_output_gate_instance 		 -> 		 {decision=222, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
n_output_gate_instance 		 -> 		 {decision=223, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pass_switch_instance 		 -> 		 {decision=224, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pass_enable_switch_instance 		 -> 		 {decision=225, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pull_gate_instance 		 -> 		 {decision=226, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
name_of_gate_instance 		 -> 		 {decision=227, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pulldown_strength 		 -> 		 {decision=228, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pullup_strength 		 -> 		 {decision=229, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_instantiation 		 -> 		 {decision=230, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_instantiation 		 -> 		 {decision=231, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_parameter_assignments 		 -> 		 {decision=232, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_parameter_assignments 		 -> 		 {decision=233, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_parameter_assignments 		 -> 		 {decision=234, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
named_parameter_assignment 		 -> 		 {decision=235, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
name_of_instance 		 -> 		 {decision=236, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_port_connections 		 -> 		 {decision=237, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_port_connections 		 -> 		 {decision=238, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_port_connections 		 -> 		 {decision=239, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
ordered_port_connection 		 -> 		 {decision=240, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
ordered_port_connection 		 -> 		 {decision=241, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
named_port_connection 		 -> 		 {decision=242, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
named_port_connection 		 -> 		 {decision=243, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
generated_instantiation 		 -> 		 {decision=244, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
generate_item_or_null 		 -> 		 {decision=245, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
generate_item 		 -> 		 {decision=246, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
generate_conditional_statement 		 -> 		 {decision=247, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
generate_case_statement 		 -> 		 {decision=248, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
genvar_case_item 		 -> 		 {decision=249, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
genvar_case_item 		 -> 		 {decision=250, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
genvar_case_item 		 -> 		 {decision=251, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
generate_block 		 -> 		 {decision=252, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
generate_block 		 -> 		 {decision=253, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
continuous_assign 		 -> 		 {decision=254, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
continuous_assign 		 -> 		 {decision=255, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_net_assignments 		 -> 		 {decision=256, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
blocking_assignment 		 -> 		 {decision=257, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
nonblocking_assignment 		 -> 		 {decision=258, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
procedural_continuous_assignments 		 -> 		 {decision=259, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement_or_null 		 -> 		 {decision=260, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement_or_null 		 -> 		 {decision=261, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_seq_block 		 -> 		 {decision=262, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_seq_block 		 -> 		 {decision=263, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_seq_block 		 -> 		 {decision=264, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
par_block 		 -> 		 {decision=265, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
par_block 		 -> 		 {decision=266, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
par_block 		 -> 		 {decision=267, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
seq_block 		 -> 		 {decision=268, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
seq_block 		 -> 		 {decision=269, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
seq_block 		 -> 		 {decision=270, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=271, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=272, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=273, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=274, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=275, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=276, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=277, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=278, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=279, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=280, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=281, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=282, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=283, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=284, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement 		 -> 		 {decision=285, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=545, SLL_ATNTransitions=0, SLL_DFATransitions=383, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement_or_null 		 -> 		 {decision=286, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
statement_or_null 		 -> 		 {decision=287, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=52, SLL_ATNTransitions=0, SLL_DFATransitions=52, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement 		 -> 		 {decision=288, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement 		 -> 		 {decision=289, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement 		 -> 		 {decision=290, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement 		 -> 		 {decision=291, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement 		 -> 		 {decision=292, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement 		 -> 		 {decision=293, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement 		 -> 		 {decision=294, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_statement 		 -> 		 {decision=295, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delay_or_event_control 		 -> 		 {decision=296, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delay_control 		 -> 		 {decision=297, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
disable_statement 		 -> 		 {decision=298, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
event_control 		 -> 		 {decision=299, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=9, SLL_ATNTransitions=0, SLL_DFATransitions=9, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
event_expression 		 -> 		 {decision=300, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
event_expression 		 -> 		 {decision=301, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
event_primary 		 -> 		 {decision=302, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
conditional_statement 		 -> 		 {decision=303, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=3482, SLL_ATNTransitions=618, SLL_DFATransitions=1490, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
conditional_statement 		 -> 		 {decision=304, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=1853, SLL_ATNTransitions=18, SLL_DFATransitions=1110, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
if_else_if_statement 		 -> 		 {decision=305, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
if_else_if_statement 		 -> 		 {decision=306, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_conditional_statement 		 -> 		 {decision=307, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_conditional_statement 		 -> 		 {decision=308, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_if_else_if_statement 		 -> 		 {decision=309, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_if_else_if_statement 		 -> 		 {decision=310, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
case_statement 		 -> 		 {decision=311, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
case_statement 		 -> 		 {decision=312, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
case_statement 		 -> 		 {decision=313, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
case_statement 		 -> 		 {decision=314, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
case_item 		 -> 		 {decision=315, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
case_item 		 -> 		 {decision=316, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
case_item 		 -> 		 {decision=317, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_case_statement 		 -> 		 {decision=318, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_case_statement 		 -> 		 {decision=319, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_case_statement 		 -> 		 {decision=320, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_case_statement 		 -> 		 {decision=321, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_case_item 		 -> 		 {decision=322, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_case_item 		 -> 		 {decision=323, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_case_item 		 -> 		 {decision=324, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_loop_statement 		 -> 		 {decision=325, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
loop_statement 		 -> 		 {decision=326, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
system_task_enable 		 -> 		 {decision=327, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
system_task_enable 		 -> 		 {decision=328, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
system_task_enable 		 -> 		 {decision=329, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
system_task_enable 		 -> 		 {decision=330, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
system_task_enable 		 -> 		 {decision=331, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
system_task_enable 		 -> 		 {decision=332, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_enable 		 -> 		 {decision=333, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_enable 		 -> 		 {decision=334, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
task_enable 		 -> 		 {decision=335, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
specify_block 		 -> 		 {decision=336, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
specify_item 		 -> 		 {decision=337, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
pulsestyle_declaration 		 -> 		 {decision=338, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
showcancelled_declaration 		 -> 		 {decision=339, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
path_declaration 		 -> 		 {decision=340, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
simple_path_declaration 		 -> 		 {decision=341, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
parallel_path_description 		 -> 		 {decision=342, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
full_path_description 		 -> 		 {decision=343, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_path_inputs 		 -> 		 {decision=344, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_path_outputs 		 -> 		 {decision=345, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
specify_input_terminal_descriptor 		 -> 		 {decision=346, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
specify_output_terminal_descriptor 		 -> 		 {decision=347, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
input_identifier 		 -> 		 {decision=348, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
output_identifier 		 -> 		 {decision=349, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
path_delay_value 		 -> 		 {decision=350, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
list_of_path_delay_expressions 		 -> 		 {decision=351, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
edge_sensitive_path_declaration 		 -> 		 {decision=352, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
parallel_edge_sensitive_path_description 		 -> 		 {decision=353, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
parallel_edge_sensitive_path_description 		 -> 		 {decision=354, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
full_edge_sensitive_path_description 		 -> 		 {decision=355, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
full_edge_sensitive_path_description 		 -> 		 {decision=356, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
state_dependent_path_declaration 		 -> 		 {decision=357, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delayed_data 		 -> 		 {decision=358, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
delayed_reference 		 -> 		 {decision=359, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
concatenation 		 -> 		 {decision=360, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
constant_concatenation 		 -> 		 {decision=361, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_concatenation 		 -> 		 {decision=362, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_concatenation 		 -> 		 {decision=363, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_concatenation_value 		 -> 		 {decision=364, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_concatenation_value 		 -> 		 {decision=365, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_concatenation_value 		 -> 		 {decision=366, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_concatenation 		 -> 		 {decision=367, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_concatenation_value 		 -> 		 {decision=368, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_concatenation_value 		 -> 		 {decision=369, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_concatenation_value 		 -> 		 {decision=370, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
constant_function_call 		 -> 		 {decision=371, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
constant_function_call 		 -> 		 {decision=372, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
constant_function_call 		 -> 		 {decision=373, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_call 		 -> 		 {decision=374, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_call 		 -> 		 {decision=375, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
function_call 		 -> 		 {decision=376, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
system_function_call 		 -> 		 {decision=377, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
system_function_call 		 -> 		 {decision=378, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
genvar_function_call 		 -> 		 {decision=379, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
genvar_function_call 		 -> 		 {decision=380, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
genvar_function_call 		 -> 		 {decision=381, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
constant_mintypmax_expression 		 -> 		 {decision=382, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
constant_range_expression 		 -> 		 {decision=383, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
expression 		 -> 		 {decision=384, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=77, SLL_ATNTransitions=1, SLL_DFATransitions=76, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
expression 		 -> 		 {decision=385, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
expression 		 -> 		 {decision=386, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
expression 		 -> 		 {decision=387, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=533, SLL_ATNTransitions=3, SLL_DFATransitions=453, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
term 		 -> 		 {decision=388, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
term 		 -> 		 {decision=389, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
mintypmax_expression 		 -> 		 {decision=390, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_conditional_expression 		 -> 		 {decision=391, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_expression 		 -> 		 {decision=392, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_expression 		 -> 		 {decision=393, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_expression 		 -> 		 {decision=394, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_expression 		 -> 		 {decision=395, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_expression 		 -> 		 {decision=396, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_expression 		 -> 		 {decision=397, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_mintypmax_expression 		 -> 		 {decision=398, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
range_expression 		 -> 		 {decision=399, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=74, SLL_ATNTransitions=0, SLL_DFATransitions=74, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
constant_primary 		 -> 		 {decision=400, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
module_path_primary 		 -> 		 {decision=401, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
primary 		 -> 		 {decision=402, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
primary 		 -> 		 {decision=403, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
primary 		 -> 		 {decision=404, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=673, SLL_ATNTransitions=5, SLL_DFATransitions=617, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_lvalue 		 -> 		 {decision=405, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_lvalue 		 -> 		 {decision=406, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
net_lvalue 		 -> 		 {decision=407, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_lvalue 		 -> 		 {decision=408, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_lvalue 		 -> 		 {decision=409, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
variable_lvalue 		 -> 		 {decision=410, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=486, SLL_ATNTransitions=0, SLL_DFATransitions=324, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
attribute_instance 		 -> 		 {decision=411, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
attr_spec 		 -> 		 {decision=412, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
arrayed_identifier 		 -> 		 {decision=413, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
escaped_arrayed_identifier 		 -> 		 {decision=414, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
escaped_hierarchical_identifier 		 -> 		 {decision=415, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
escaped_hierarchical_identifier 		 -> 		 {decision=416, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
hierarchical_identifier 		 -> 		 {decision=417, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
simple_arrayed_identifier 		 -> 		 {decision=418, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
simple_hierarchical_identifier 		 -> 		 {decision=419, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
simple_hierarchical_branch 		 -> 		 {decision=420, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=373, SLL_ATNTransitions=2, SLL_DFATransitions=371, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
simple_hierarchical_branch 		 -> 		 {decision=421, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
simple_hierarchical_branch 		 -> 		 {decision=422, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=299, SLL_ATNTransitions=0, SLL_DFATransitions=299, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
escaped_hierarchical_branch 		 -> 		 {decision=423, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
escaped_hierarchical_branch 		 -> 		 {decision=424, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}
escaped_hierarchical_branch 		 -> 		 {decision=425, contextSensitivities=0, errors=0, ambiguities=0, SLL_lookahead=0, SLL_ATNTransitions=0, SLL_DFATransitions=0, LL_Fallback=0, LL_lookahead=0, LL_ATNTransitions=0}

thanks

quantrpeter avatar Oct 22 '21 20:10 quantrpeter

The parser takes "forever" with the C# target as well for the original input--so far a good hour. The rule that's being pounded on is conditional_statement. But, if you look at the rule, it's just terrible: in if_else_if_statement, the rule has a Star-closure of 'else' 'if' '(' expression ')' statement_or_null, followed by the else. This conflicts with the first alt in conditional_statement. It's like someone didn't want to remove the old way, which would result in deep trees. This is an example of really bad programming. The solution is to choose one way or the other. Just remove the first alt in conditional_statement and unfold the if_else_if_statement rule. If I do that, the input parses in ~2s.

kaby76 avatar Oct 22 '21 22:10 kaby76

The bogus if-then-else rules are in the IEEE 1364-2005 Spec (an illegal copy here). Nevertheless it should be fixed (conditional_statement : 'if' '(' expression ')' statement_or_null ('else' 'if' '(' expression ')' statement_or_null)* ('else' statement_or_null)?) and reported to the Spec committee.

kaby76 avatar Oct 22 '21 22:10 kaby76

@kaby76 thanks for your great answer, do you mind to post your fixed verilog2001.g4 please?

quantrpeter avatar Oct 23 '21 04:10 quantrpeter

just followed your suggest, and i can make it 2s too, thank you very very much. Below is what i have changed.

conditional_statement
   : 'if' '(' expression ')' statement_or_null ('else' 'if' '(' expression ')' statement_or_null)* ('else' statement_or_null)?
   ;

quantrpeter avatar Oct 23 '21 04:10 quantrpeter