rust_hdl icon indicating copy to clipboard operation
rust_hdl copied to clipboard

Results 112 rust_hdl issues
Sort by recently updated
recently updated
newest added

Mentioning "0" would mean cargo would pick the latest dependency which is versioned "0.*" which would include versions incompatible with the one that this crate was written with and may...

Hello, It seems the parser is sensitive to case when you end the definition of a component. Here is a picture: ![image](https://user-images.githubusercontent.com/57914748/177172957-6b1afb35-8de0-48bf-8e39-decbc25f96b1.png) I tried the same with entities, package, no...

Update conditional actions after repo move. Fixes #128

Currently, new versions are not pushed to crates.io because the Actions script checks for the old repo location: ```yaml if: github.repository == 'kraigher/rust_hdl' ```

Create NeoVim integration of VHDL language server and publish instructions on how to use it.

help wanted
good first issue

Over the last year, new warning rules have been introduced to the Rust compiler and Clippy. As the `rust_hdl` codebase triggers several of these new warnings, new CI builds will...

My VHDL entity code: ```vhdl LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all;

Hi, If I have in the architecture body these signal declarations: ``` signal hello : std_logic_vector(7 downto 0); signal world : hello'subtype; ``` VHDL-LS gives an error on the tick...

The docker build shows the following error: ``` > [builder 4/4] RUN cargo build --manifest-path $CRATE/Cargo.toml --release --features "packaged": #9 0.494 error: manifest path `/Cargo.toml` does not exist ```

Uppercase: ![image](https://user-images.githubusercontent.com/17657014/129805168-54a79146-2a2e-4ff6-ac4a-6109d8c2c659.png) Lowercase: ![image](https://user-images.githubusercontent.com/17657014/129805187-45c332b4-10ed-433b-a203-a492092caa5c.png)